WO2018125052A1 - Selective area deposition of metal layers from hetero-pentadienyl metal complex precursors - Google Patents
Selective area deposition of metal layers from hetero-pentadienyl metal complex precursors Download PDFInfo
- Publication number
- WO2018125052A1 WO2018125052A1 PCT/US2016/068724 US2016068724W WO2018125052A1 WO 2018125052 A1 WO2018125052 A1 WO 2018125052A1 US 2016068724 W US2016068724 W US 2016068724W WO 2018125052 A1 WO2018125052 A1 WO 2018125052A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- metal
- integrated circuit
- pentadienyl
- hetero
- implementation
- Prior art date
Links
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 161
- 239000002184 metal Substances 0.000 title claims abstract description 160
- 150000004696 coordination complex Chemical class 0.000 title claims abstract description 35
- 230000008021 deposition Effects 0.000 title claims description 21
- 239000002243 precursor Substances 0.000 title abstract description 22
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical group [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 claims abstract description 24
- 239000003446 ligand Substances 0.000 claims abstract description 21
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 17
- 239000001257 hydrogen Substances 0.000 claims abstract description 14
- 125000004435 hydrogen atom Chemical group [H]* 0.000 claims abstract description 13
- 150000003624 transition metals Chemical class 0.000 claims abstract description 12
- 238000005229 chemical vapour deposition Methods 0.000 claims abstract description 11
- 229910052723 transition metal Inorganic materials 0.000 claims abstract description 10
- 238000000231 atomic layer deposition Methods 0.000 claims abstract description 8
- 239000000758 substrate Substances 0.000 claims description 48
- 238000000034 method Methods 0.000 claims description 38
- 238000000151 deposition Methods 0.000 claims description 32
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 29
- 239000003989 dielectric material Substances 0.000 claims description 23
- 229910052799 carbon Inorganic materials 0.000 claims description 18
- 239000010949 copper Substances 0.000 claims description 18
- 125000005842 heteroatom Chemical group 0.000 claims description 18
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 17
- 229910052707 ruthenium Inorganic materials 0.000 claims description 17
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 claims description 16
- 239000011572 manganese Substances 0.000 claims description 16
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 15
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 15
- 229910052802 copper Inorganic materials 0.000 claims description 12
- 229910052759 nickel Inorganic materials 0.000 claims description 12
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 10
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 10
- 229910017052 cobalt Inorganic materials 0.000 claims description 10
- 239000010941 cobalt Substances 0.000 claims description 10
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 10
- 239000010948 rhodium Substances 0.000 claims description 10
- 229910052748 manganese Inorganic materials 0.000 claims description 9
- 229910052755 nonmetal Inorganic materials 0.000 claims description 9
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims description 8
- 229910052757 nitrogen Inorganic materials 0.000 claims description 8
- 229910052760 oxygen Inorganic materials 0.000 claims description 8
- 229910052721 tungsten Inorganic materials 0.000 claims description 8
- 239000010937 tungsten Substances 0.000 claims description 8
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 claims description 7
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 7
- 239000001301 oxygen Substances 0.000 claims description 7
- 229910052763 palladium Inorganic materials 0.000 claims description 7
- 229910052697 platinum Inorganic materials 0.000 claims description 7
- 229910052717 sulfur Inorganic materials 0.000 claims description 7
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 7
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 claims description 6
- 229910052703 rhodium Inorganic materials 0.000 claims description 6
- 235000012239 silicon dioxide Nutrition 0.000 claims description 6
- 239000000377 silicon dioxide Substances 0.000 claims description 6
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 5
- 125000004429 atom Chemical group 0.000 claims description 5
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 claims description 5
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 claims description 5
- 229910052741 iridium Inorganic materials 0.000 claims description 5
- 229910052750 molybdenum Inorganic materials 0.000 claims description 5
- 239000011733 molybdenum Substances 0.000 claims description 5
- 229910052762 osmium Inorganic materials 0.000 claims description 5
- 229910052698 phosphorus Inorganic materials 0.000 claims description 5
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 claims description 4
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 claims description 4
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 claims description 4
- 239000011593 sulfur Substances 0.000 claims description 4
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 claims description 3
- SYQBFIAQOQZEGI-UHFFFAOYSA-N osmium atom Chemical compound [Os] SYQBFIAQOQZEGI-UHFFFAOYSA-N 0.000 claims description 3
- 229910021529 ammonia Inorganic materials 0.000 claims description 2
- 229910052742 iron Inorganic materials 0.000 claims description 2
- WPBNNNQJVZRUHP-UHFFFAOYSA-L manganese(2+);methyl n-[[2-(methoxycarbonylcarbamothioylamino)phenyl]carbamothioyl]carbamate;n-[2-(sulfidocarbothioylamino)ethyl]carbamodithioate Chemical compound [Mn+2].[S-]C(=S)NCCNC([S-])=S.COC(=O)NC(=S)NC1=CC=CC=C1NC(=S)NC(=O)OC WPBNNNQJVZRUHP-UHFFFAOYSA-L 0.000 claims description 2
- 239000000376 reactant Substances 0.000 claims description 2
- 125000004169 (C1-C6) alkyl group Chemical group 0.000 abstract 2
- 239000010410 layer Substances 0.000 description 79
- 239000000463 material Substances 0.000 description 26
- 239000004065 semiconductor Substances 0.000 description 17
- -1 platinum group metals Chemical class 0.000 description 16
- 230000008569 process Effects 0.000 description 15
- 238000004891 communication Methods 0.000 description 14
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 12
- 229910052710 silicon Inorganic materials 0.000 description 12
- 239000010703 silicon Substances 0.000 description 12
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 11
- 238000004519 manufacturing process Methods 0.000 description 10
- 150000002739 metals Chemical class 0.000 description 9
- 239000004912 1,5-cyclooctadiene Substances 0.000 description 8
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 8
- 238000012545 processing Methods 0.000 description 8
- 239000011229 interlayer Substances 0.000 description 7
- 238000013459 approach Methods 0.000 description 6
- UHOVQNZJYSORNB-MZWXYZOWSA-N benzene-d6 Chemical compound [2H]C1=C([2H])C([2H])=C([2H])C([2H])=C1[2H] UHOVQNZJYSORNB-MZWXYZOWSA-N 0.000 description 6
- VLKZOEOYAKHREP-UHFFFAOYSA-N n-Hexane Chemical compound CCCCCC VLKZOEOYAKHREP-UHFFFAOYSA-N 0.000 description 6
- 239000011295 pitch Substances 0.000 description 6
- 239000007787 solid Substances 0.000 description 6
- 238000001465 metallisation Methods 0.000 description 5
- 125000006850 spacer group Chemical group 0.000 description 5
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 5
- 238000005481 NMR spectroscopy Methods 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- 229910045601 alloy Inorganic materials 0.000 description 4
- 239000000956 alloy Substances 0.000 description 4
- 238000003491 array Methods 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 239000002019 doping agent Substances 0.000 description 4
- 239000011521 glass Substances 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- WEVYAHXRMPXWCK-UHFFFAOYSA-N Acetonitrile Chemical compound CC#N WEVYAHXRMPXWCK-UHFFFAOYSA-N 0.000 description 3
- YMWUJEATGCHHMB-UHFFFAOYSA-N Dichloromethane Chemical compound ClCCl YMWUJEATGCHHMB-UHFFFAOYSA-N 0.000 description 3
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 238000004377 microelectronic Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- ORPGAOYOWYKKCL-UHFFFAOYSA-N n-tert-butylbut-2-en-1-imine Chemical compound CC=CC=NC(C)(C)C ORPGAOYOWYKKCL-UHFFFAOYSA-N 0.000 description 3
- IUBQJLUDMLPAGT-UHFFFAOYSA-N potassium bis(trimethylsilyl)amide Chemical compound C[Si](C)(C)N([K])[Si](C)(C)C IUBQJLUDMLPAGT-UHFFFAOYSA-N 0.000 description 3
- 238000002360 preparation method Methods 0.000 description 3
- 239000011541 reaction mixture Substances 0.000 description 3
- 239000002904 solvent Substances 0.000 description 3
- SEPQTYODOKLVSB-UHFFFAOYSA-N 3-methylbut-2-enal Chemical compound CC(C)=CC=O SEPQTYODOKLVSB-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- 239000012327 Ruthenium complex Substances 0.000 description 2
- 229910000676 Si alloy Inorganic materials 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- 238000004833 X-ray photoelectron spectroscopy Methods 0.000 description 2
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 229910052785 arsenic Inorganic materials 0.000 description 2
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 230000003197 catalytic effect Effects 0.000 description 2
- 239000011651 chromium Substances 0.000 description 2
- MGNZXYYWBUKAII-UHFFFAOYSA-N cyclohexa-1,3-diene Chemical compound C1CC=CC=C1 MGNZXYYWBUKAII-UHFFFAOYSA-N 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical class [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 description 2
- 238000002149 energy-dispersive X-ray emission spectroscopy Methods 0.000 description 2
- 239000003822 epoxy resin Substances 0.000 description 2
- 239000010408 film Substances 0.000 description 2
- 229940104869 fluorosilicate Drugs 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 2
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 2
- 239000003921 oil Substances 0.000 description 2
- 229920000620 organic polymer Polymers 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 229920000647 polyepoxide Polymers 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 2
- 239000004810 polytetrafluoroethylene Substances 0.000 description 2
- 239000011148 porous material Substances 0.000 description 2
- 239000002244 precipitate Substances 0.000 description 2
- 238000002203 pretreatment Methods 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 238000000746 purification Methods 0.000 description 2
- 150000003839 salts Chemical class 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- URGAHOPLAPQHLN-UHFFFAOYSA-N sodium aluminosilicate Chemical compound [Na+].[Al+3].[O-][Si]([O-])=O.[O-][Si]([O-])=O URGAHOPLAPQHLN-UHFFFAOYSA-N 0.000 description 2
- 238000003756 stirring Methods 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- RRKODOZNUZCUBN-CCAGOZQPSA-N (1z,3z)-cycloocta-1,3-diene Chemical compound C1CC\C=C/C=C\C1 RRKODOZNUZCUBN-CCAGOZQPSA-N 0.000 description 1
- 108091064702 1 family Proteins 0.000 description 1
- VYXHVRARDIDEHS-UHFFFAOYSA-N 1,5-cyclooctadiene Chemical compound C1CC=CCCC=C1 VYXHVRARDIDEHS-UHFFFAOYSA-N 0.000 description 1
- LIKMAJRDDDTEIG-UHFFFAOYSA-N 1-hexene Chemical compound CCCCC=C LIKMAJRDDDTEIG-UHFFFAOYSA-N 0.000 description 1
- 238000004009 13C{1H}-NMR spectroscopy Methods 0.000 description 1
- 125000006176 2-ethylbutyl group Chemical group [H]C([H])([H])C([H])([H])C([H])(C([H])([H])*)C([H])([H])C([H])([H])[H] 0.000 description 1
- 125000005916 2-methylpentyl group Chemical group 0.000 description 1
- 125000005917 3-methylpentyl group Chemical group 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- UQZBFXQTGHUHGN-XYOKQWHBSA-N CC(C)(C)/N=C/CC(C)(C)NC Chemical compound CC(C)(C)/N=C/CC(C)(C)NC UQZBFXQTGHUHGN-XYOKQWHBSA-N 0.000 description 1
- IWWGWVVTGLPZCY-CSKARUKUSA-N CCCC/N=C/CC(C)C Chemical compound CCCC/N=C/CC(C)C IWWGWVVTGLPZCY-CSKARUKUSA-N 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 description 1
- 229910026551 ZrC Inorganic materials 0.000 description 1
- KDUIUFJBNGTBMD-DLMDZQPMSA-N [8]annulene Chemical compound C/1=C/C=C\C=C/C=C\1 KDUIUFJBNGTBMD-DLMDZQPMSA-N 0.000 description 1
- OTCHGXYCWNXDOA-UHFFFAOYSA-N [C].[Zr] Chemical compound [C].[Zr] OTCHGXYCWNXDOA-UHFFFAOYSA-N 0.000 description 1
- KXNLCSXBJCPWGL-UHFFFAOYSA-N [Ga].[As].[In] Chemical compound [Ga].[As].[In] KXNLCSXBJCPWGL-UHFFFAOYSA-N 0.000 description 1
- XWCMFHPRATWWFO-UHFFFAOYSA-N [O-2].[Ta+5].[Sc+3].[O-2].[O-2].[O-2] Chemical compound [O-2].[Ta+5].[Sc+3].[O-2].[O-2].[O-2] XWCMFHPRATWWFO-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- 125000000217 alkyl group Chemical group 0.000 description 1
- CAVCGVPGBKGDTG-UHFFFAOYSA-N alumanylidynemethyl(alumanylidynemethylalumanylidenemethylidene)alumane Chemical compound [Al]#C[Al]=C=[Al]C#[Al] CAVCGVPGBKGDTG-UHFFFAOYSA-N 0.000 description 1
- 239000003708 ampul Substances 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 238000001636 atomic emission spectroscopy Methods 0.000 description 1
- SKKMWRVAJNPLFY-UHFFFAOYSA-N azanylidynevanadium Chemical compound [V]#N SKKMWRVAJNPLFY-UHFFFAOYSA-N 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- DSAJWYNOEDNPEQ-UHFFFAOYSA-N barium atom Chemical compound [Ba] DSAJWYNOEDNPEQ-UHFFFAOYSA-N 0.000 description 1
- VKJLWXGJGDEGSO-UHFFFAOYSA-N barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[Ti+4].[Ba+2] VKJLWXGJGDEGSO-UHFFFAOYSA-N 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 230000001413 cellular effect Effects 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000006388 chemical passivation reaction Methods 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000002485 combustion reaction Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000007812 deficiency Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 125000003963 dichloro group Chemical group Cl* 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 238000005430 electron energy loss spectroscopy Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- VTGARNNDLOTBET-UHFFFAOYSA-N gallium antimonide Chemical compound [Sb]#[Ga] VTGARNNDLOTBET-UHFFFAOYSA-N 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- WHJFNYXPKGDKBB-UHFFFAOYSA-N hafnium;methane Chemical compound C.[Hf] WHJFNYXPKGDKBB-UHFFFAOYSA-N 0.000 description 1
- 230000002209 hydrophobic effect Effects 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 1
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- JQJCSZOEVBFDKO-UHFFFAOYSA-N lead zinc Chemical compound [Zn].[Pb] JQJCSZOEVBFDKO-UHFFFAOYSA-N 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 229910052943 magnesium sulfate Inorganic materials 0.000 description 1
- 238000009996 mechanical pre-treatment Methods 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 1
- 238000007431 microscopic evaluation Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 239000002808 molecular sieve Substances 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- SYSQUGFVNFXIIT-UHFFFAOYSA-N n-[4-(1,3-benzoxazol-2-yl)phenyl]-4-nitrobenzenesulfonamide Chemical class C1=CC([N+](=O)[O-])=CC=C1S(=O)(=O)NC1=CC=C(C=2OC3=CC=CC=C3N=2)C=C1 SYSQUGFVNFXIIT-UHFFFAOYSA-N 0.000 description 1
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000001280 n-hexyl group Chemical group C(CCCCC)* 0.000 description 1
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 239000002074 nanoribbon Substances 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- 125000001971 neopentyl group Chemical group [H]C([*])([H])C(C([H])([H])[H])(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 239000012299 nitrogen atmosphere Substances 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- KJXBRHIPHIVJCS-UHFFFAOYSA-N oxo(oxoalumanyloxy)lanthanum Chemical compound O=[Al]O[La]=O KJXBRHIPHIVJCS-UHFFFAOYSA-N 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- WYURNTSHIVDZCO-SVYQBANQSA-N oxolane-d8 Chemical compound [2H]C1([2H])OC([2H])([2H])C([2H])([2H])C1([2H])[2H] WYURNTSHIVDZCO-SVYQBANQSA-N 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 125000003538 pentan-3-yl group Chemical group [H]C([H])([H])C([H])([H])C([H])(*)C([H])([H])C([H])([H])[H] 0.000 description 1
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 1
- 125000004437 phosphorous atom Chemical group 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 125000000168 pyrrolyl group Chemical group 0.000 description 1
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 1
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 1
- 229910052706 scandium Inorganic materials 0.000 description 1
- SIXSYDAISGFNSX-UHFFFAOYSA-N scandium atom Chemical compound [Sc] SIXSYDAISGFNSX-UHFFFAOYSA-N 0.000 description 1
- 238000004626 scanning electron microscopy Methods 0.000 description 1
- 125000003548 sec-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 1
- 238000004513 sizing Methods 0.000 description 1
- 238000004611 spectroscopical analysis Methods 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 229910052712 strontium Inorganic materials 0.000 description 1
- CIOAGBVUUVVLOB-UHFFFAOYSA-N strontium atom Chemical compound [Sr] CIOAGBVUUVVLOB-UHFFFAOYSA-N 0.000 description 1
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 1
- CZXRMHUWVGPWRM-UHFFFAOYSA-N strontium;barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Sr+2].[Ba+2] CZXRMHUWVGPWRM-UHFFFAOYSA-N 0.000 description 1
- 238000000859 sublimation Methods 0.000 description 1
- 230000008022 sublimation Effects 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 125000001424 substituent group Chemical group 0.000 description 1
- 125000004434 sulfur atom Chemical group 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- OCGWQDWYSQAFTO-UHFFFAOYSA-N tellanylidenelead Chemical compound [Pb]=[Te] OCGWQDWYSQAFTO-UHFFFAOYSA-N 0.000 description 1
- YBRBMKDOPFTVDT-UHFFFAOYSA-N tert-butylamine Chemical compound CC(C)(C)N YBRBMKDOPFTVDT-UHFFFAOYSA-N 0.000 description 1
- 125000001973 tert-pentyl group Chemical group [H]C([H])([H])C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- YLQBMQCUIZJEEH-UHFFFAOYSA-N tetrahydrofuran Natural products C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 238000004627 transmission electron microscopy Methods 0.000 description 1
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
- 239000003039 volatile agent Substances 0.000 description 1
- 229910052727 yttrium Inorganic materials 0.000 description 1
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 1
- 229910052725 zinc Inorganic materials 0.000 description 1
- 239000011701 zinc Substances 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C07—ORGANIC CHEMISTRY
- C07F—ACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
- C07F15/00—Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic Table
- C07F15/0006—Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic Table compounds of the platinum group
- C07F15/0046—Ruthenium compounds
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/16—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76849—Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76874—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76885—By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
Definitions
- a via, or vertical interconnect access is a small opening in an insulating layer that provides an electrical connection between different layers. Vias electrically connect metal lines or other interconnects above the vias to metal lines or other interconnects below the vias.
- Fig. 1 is a diagram showing selectivity for metal-over-metal deposition vs. metal-over- interlayer dielectric (ILD) deposition from a hetero-pentadienyl metal complex precursor, in accordance with an implementation of the disclosure.
- ILD metal-over- interlayer dielectric
- Fig. 2 is an interposer for use with one or more of the implementations of the disclosure.
- Fig. 3 is a computing device built in accordance with implementations of the disclosure.
- Integrated circuits contain electrically conductive structures, also referred to as vias, to electrically connect metal lines or other interconnects above the vias to metal lines or other interconnects below the vias. Sizing and spacing of vias has progressively decreased and the trend is expected to continue.
- one measure of the size of vias is the dimension of the via opening.
- one measure of the spacing of the vias is the via pitch.
- via pitch represents the center-to-center distance between the closest adjacent vias.
- aspects of the present disclosure address these and other deficiencies with selective area deposition of metal films or metal layers by atomic layer deposition (ALD) or chemical vapor deposition (CVD) from metal complex precursors with certain built-in structural features, such as metal complex precursor hetero-pentadienyl metal complexes of formula I, as described below.
- the metal complex precursor structural features enable ALD or CVD of late transition metals or platinum group metals on metallic surfaces while avoiding deposition on adjacent non- metal surfaces. Implementations described herein enable a more robust interconnect fabrication scheme, as well as enable the manufacture of other circuits or structures of an integrated circuit.
- Some implementations described herein involve the fabrication of metal and via patterns based on the positions of an underlying layer.
- a metal interconnect process is effectively reversed from some top down patterning approaches and built from the previous layer up.
- an interlayer dielectric (ILD) is first deposited, with a pattern for metal and via layers subsequently patterned therein.
- alignment to a previous layer is performed using a lithography scanner alignment system followed by etching.
- Implementations described herein may be used to enable electroless via bottom-up fill on non-catalytic metals and can also enable self-aligned bottom-up interconnect design.
- Selective deposition of ruthenium (Ru) on tungsten (W) may be used for growth of a catalytic cap on W at the bottom of a via structure in a low-k ILD material.
- Selective deposition of a Ru cap on under-lying exposed W contacts through a via opening formed in a low-k ILD material using the hetero-pentadienyl metal complex precursors can be used to enable bottom-up electroless via fill.
- Implementations described herein enable fabrication, by selective metal deposition, of vias that are directly centered on underlying metal lines.
- the vias may be wider than, narrower than or the same thickness as the underlying metal lines, e.g., due to non-perfect selective etch processing.
- the centers of the vias are directly aligned (match up) with the centers of the metal lines.
- approaches are provided for the deposition of various transition metals selectively on metal surfaces, while avoiding deposition on contiguous, hydrophilic and generic low-k dielectric surfaces.
- selective metal deposition is accomplished by thermal ALD or CVD using a hetero-pentadienyl metal complex precursor optionally together with a suitable co-reactant such as a hydrogen, ammonia, hydrazine or oxygen.
- the selective deposition may be performed with or without pre-treatment of an adjacent or nearby low-k substrate. Pre-treatments include pre-cleaning, chemical passivation or mechanical pre-treatment.
- the metal complex precursors are hetero-pentadienyl metal complexes of formula I:
- L is a ligand
- n 1 , 2 or 3;
- X is NR 1 , S(0)(0), S(0)(R 1 )(R 1 ), S(R 1 )(R 1 ), P(0)(R 1 ), P(R 1 )(R 1 )(R 1 ) or O;
- each R independently is hydrogen or a straight or branched chain d-C 6 alkyl
- each R 1 independently is hydrogen or a straight or branched chain d-C 6 alkyl
- M is a first row late transition metal atom or a platinum group metal atom.
- first row late transition metals include manganese (Mn), iron (Fe), cobalt (Co), nickel (Ni) or copper (Cu).
- platinum group metals include ruthenium (Ru), rhodium (Rh), palladium (Pd), osmium (Os), iridium (Ir) or platinum (Pt).
- the hetero-pentadienyl metal complex may be heteroleptic, that is, where n is 1 and L is a hetero-pentadienyl ligand not identical to the hetero-pentadienyl ligand:
- the metal complex may be homoleptic, that is, where L is an identical hetero-pentadienyl ligand and n is 1 .
- X is S(0)(0), S(0)(R 1 )(R 1 ), S(R 1 )(R 1 ), P(0)(R 1 ) or P(R 1 )(R 1 )(R 1 ) or X is NR 1 .
- ligand L may be trispyrazolyborate, ethylcyclopentadienyl, 2,4- dimethylpentadienyl, pyrrolyl, 2,2,6,6-tetramethyl-3,5-hepanedionato, cycloocta-1 ,3,5,7- tetraene, cyclohexadiene, N,N-di-t-butylacetamidinato, ethylene, hexene, cyclooctadiene, diethyl ether, tetrahydrofuran, acetonitrile, CO, NH 3 or PY 3 where each Y is independently phenyl or hydrogen or a straight or branched chain C C 6 alkyl.
- M is Ru and X is NR 1 ; in an implementation, M is Ru and X is NR 1 where R 1 is t-butyl.
- This hetero-pentadienyl metal complex is of formula:
- Fig. 1 illustrates a diagram 100 showing via preparation by selective metal deposition over a metal interconnect vs. over an interlayer dielectric (ILD) from a hetero-pentadienyl metal complex precursor 101 .
- ILD interlayer dielectric
- implementation has two t-butylamino-pentadienyl ligands complexed with metal M.
- metal M is ruthenium.
- Hetero-pentadienyl metal complex precursor 101 encompasses the homoleptic ruthenium complex of Example 1 (described below) where each 3-position R group is methyl and other R groups are hydrogen.
- the R groups of the two ligands of hetero-pentadienyl metal complex precursor 101 are not identical, providing a heteroleptic ruthenium complex. Referring to diagram 100, the top surface of integrated circuit substrate 102 is provided having exposed ILD portions 103 and an exposed interconnect metal portion 104.
- a hetero-pentadienyl metal complex precursor 101 is inhibited from depositing on the exposed ILD portions 103 and is encouraged to deposit on the exposed interconnect metal portion 104.
- the selective deposition is achieved without protecting or passivating either the exposed ILD portions 103 or the exposed
- the exposed interconnect metal portion 104 is a pure metal such as, but not limited to, copper, nickel, cobalt, manganese, ruthenium, molybdenum or tungsten, or a conductive metal containing such as, but not limited to, titanium nitride, vanadium nitride or tungsten nitride.
- a via 105 comprising metal M is formed on the metal interconnect portion 104 as shown, to provide a surface of an integrated circuit with exposed ILD portions and a via comprising metal M formed on an exposed interconnect metal portion.
- circuit structure 106 is part of an integrated circuit.
- the via 105 may contain some residual heteroatom nitrogen and/or carbon originating from the hetero-pentadienyl metal complex precursor 101. Implementations disclosed herein are applied to electrical vias for purposes of illustration, and not limitation. It may be noted that the implementations described herein may be applied to a wide variety of different types of integrated circuits, microelectronic devices, and other substrate surfaces, circuits, and circuit structures in the aforementioned.
- a method of depositing a metal M on a substrate surface comprising providing a substrate surface and depositing the metal M from a hetero- pentadienyl metal complex of formula I is disclosed.
- depositing the metal M forms a layer comprising metal M.
- the layer comprising metal M is from about 1 nm to about 150 nm thick.
- Bulky R 1 and/or R group substituents of the metal complex of formula I may protect the metal center from direct undesirable reactions with an adjacent or nearby dielectric surface by providing an effective hydrophobic pocket.
- the selectivity for metal surfaces is achieved by direct interaction of the hetero-pentadienyl ligand with the conducting sea of electrons on the metal surface.
- Straight or branched chain alkyl includes methyl, ethyl, n- propyl, i-propyl, n-butyl, i-butyl, t-butyl, n-pentyl, t-pentyl, neopentyl, i-pentyl, sec-pentyl, 3- pentyl, n-hexyl, 2-methylpentyl, 3-methylpentyl, 2-ethylbutyl, 3-ethylbutyl, 2,3-dimethylbutyl or ethylpropyl.
- interconnect material such as the material of metal lines, comprises one or more metals or other conductive structures. Copper lines or structures may or may not include barrier layers between the copper and surrounding ILD material. As used herein, the term metal includes alloys, stacks and other combinations of multiple metals. Metal interconnect lines may include barrier layers, stacks of different metals or alloys, etc. Interconnect lines are also sometimes referred to in the arts as traces, wires, lines, metal or simply interconnect.
- the metal surface or interconnect (exposed metal surface) on which deposition occurs may comprise copper (Cu), nickel (Ni), cobalt (Co), manganese (Mn), ruthenium (Ru), molybdenum (Mb) or tungsten (W).
- interlayer dielectric (ILD) material such as the material of interlayer dielectric lines, comprises a layer of a dielectric or insulating material.
- suitable dielectric materials include, but are not limited to, oxides of silicon (e.g., silicon dioxide (Si0 2 )), doped oxides of silicon, fluorinated oxides of silicon, carbon doped oxides of silicon, carbon doped oxide (CDO), silicon nitride, organic polymers such as perfluorocyclobutane or polytetrafluoroethylene, fluorosilicate glass (FSG), and organosilicates such as silsesquioxane, siloxane, organosilicate glass or combinations thereof.
- the ILD layers may include pores or air gaps to further reduce their dielectric constant.
- the interlayer dielectric material may be formed by conventional techniques, such as chemical vapor deposition (CVD), physical vapor deposition (PVD) or by other deposition methods.
- depositing the metal M forms a layer comprising metal M and one or more nitrogen (N), oxygen (O), phosphorous (P) or sulfur (S) heteroatoms.
- N nitrogen
- O oxygen
- P phosphorous
- S sulfur
- depositing the metal M forms a layer comprising metal M and one or more N, S or P heteroatoms.
- Final via interconnect structures may comprise detectable discrete layers of the first row late transition metals or platinum group metals, such as chromium (Cr), manganese (Mn), iron (Fe), cobalt (Co), nickel (Ni), ruthenium (Ru), rhodium (Rh), platinum (Pt) or palladium (Pd). Standard microscopic or spectroscopic analysis techniques may be used to detect the layers (e.g.
- SEM scanning electron microscopy
- TEM transmission electron microscopy
- XPS X-ray photoelectron spectroscopy
- AES atomic emission spectroscopy
- EDS energy dispersive spectroscopy
- EELS electron energy loss spectroscopy
- the present methods may result in low levels of carbon present in the deposited metal layers.
- the deposited metal layers comprise less than or equal to 9.7, less than or equal to 9.0, less than or equal to 8.0, less than or equal to 7.0, less than or equal to 6.0, less than or equal to 5.0, less than or equal to 4.0, less than or equal to 3.0, less than or equal to 2.0 or less than or equal to 1 .0 percent by weight carbon, based on the total weight of the deposited metal layer.
- the deposited metal layers may contain carbon from about 0.01 or from about 0.2 to about 9.7 weight percent, based on the total weight of the final deposited metal layer.
- Carbon present in the layer comprising metal M may originate from the hetero-pentadienyl ligand.
- the present methods may result in low levels of the presence of one or more nitrogen (N), oxygen (O), phosphorus (P) or sulfur (S) heteroatoms being present in the final deposited metal layer, which may originate from the hetero-pentadienyl ligand.
- the deposited metal layer may comprise from about 0.3, about 0.5, about 0.8, about 1 .0 or about 2.0 to about 3.0, about 4.0, about 5.0, about 6.0, about 7.0, about 8.0, about 9.0 or about 9.9 weight percent of one or more N, O, P and S atoms, based on the total weight of the deposited metal layer.
- depositing the metal M forms a layer which comprises greater than or equal to 90 weight percent metal M.
- metal layers may be formed on or above an underlying
- a substrate such as a semiconductor substrate, may be used to manufacture integrated circuits.
- the semiconductor substrate may include a wafer or other piece of silicon or another semiconductor material. Suitable semiconductor substrates include single crystal silicon, polycrystalline silicon or silicon on insulator (SOI), as well as similar substrates formed of other semiconductor materials.
- SOI silicon on insulator
- the semiconductor substrate depending on the stage of manufacture, often includes transistors, integrated circuitry and the like.
- the substrate may also include semiconductor materials, metals, dielectrics, dopants and other materials commonly found in semiconductor substrates.
- the structure may be fabricated on underlying lower level interconnect layers.
- metal M is deposited from a hetero-pentadienyl metal complex of formula I where M is a first row late transition metal atom or a platinum group metal atom.
- metal M is Mn, Fe, Co, Ni or Cu or is Ru, Rh, Pd, Os, Ir or Pt.
- metal M in the hetero-pentadienyl metal complex precursor is Ru and X is NR 1 .
- M is Ru and X is N(t-butyl).
- the hetero-pentadienyl metal complex precursor is homoleptic where each X is
- the deposition is performed at a temperature of from about 150°C (degree Celsius) to about 500 °C. In an implementation, the deposition is performed at a temperature of from about 200 °C to about 450 °C.
- the substrate surface comprises one or more metal regions and one or more non-metal regions where the metal M is selectively deposited on the metal regions.
- the weight ratio of the metal M deposited on the metal regions to that of the metal M deposited on the non-metal regions is greater than or equal to 20.
- the weight ratio of the metal M deposited on the metal regions to that of the metal M deposited on the non-metal regions is greater than or equal to 30.
- the weight ratio of the metal M deposited on the metal regions to that of the metal M deposited on the non-metal regions is greater than or equal to 40.
- the present metal deposition methods comprise fabrication of a via interconnect structure of an integrated circuit.
- a method of fabricating a via interconnect structure for an integrated circuit comprises forming a plurality of metal contacts in a dielectric layer to provide exposed regions of the dielectric layer and exposed regions of the metal contacts. The method also comprises forming, using a selective metal atomic layer deposition (ALD) or chemical vapor deposition (CVD) process, a metal layer on the exposed regions of the metal contacts without forming the metal layer on the exposed regions of the dielectric layer.
- the metal contacts may include tungsten (W).
- an integrated circuit comprising a dielectric material; an interconnect disposed within the dielectric material; and a via over the interconnect; where the via comprises a metal M and one or more heteroatoms, where the metal M is a first row late transition metal or a platinum group metal and the one or more heteroatoms are at least one of nitrogen (N), oxygen (O), phosphorous (P) or sulfur (S) atoms.
- the via comprises one or more N, P or S heteroatoms. Presence of the heteroatoms in the via may originate from the hetero-pentadienyl metal complex precursor.
- the interconnect comprises a metal such as copper (Cu), nickel (Ni), cobalt (Co), manganese (Mn), ruthenium (Ru), molybdenum (Mb) or tungsten (W).
- the metal M is manganese (Mn), iron (Fe), cobalt (Co), nickel (Ni), copper (Cu), ruthenium (Ru), rhodium (Rh), palladium (Pd), osmium (Os), iridium (Ir) or platinum (Pt).
- the processes provide for deposition of metal layers containing high levels of the desired metal.
- the via comprises greater than or equal to 90 weight percent metal M.
- the via comprises from about 0.3 to about 9.9 weight percent of the heteroatoms or from about 0.5 to about 6.0 weight percent of the heteroatoms.
- the via comprises metal M, the one or more heteroatoms and carbon. In an implementation, the via comprises from about 0.01 to about 9.7 weight percent carbon. In an implementation, the via comprises from about 0.01 to about 7.0 weight percent carbon. Presence of carbon in the via may originate from the hetero-pentadienyl metal complex precursor.
- the dielectric material comprises silicon dioxide or a low-k dielectric material.
- the weight ratio of metal M over the interconnect to that of metal M over the dielectric material may be greater than or equal to 20. In an implementation, the weight ratio of metal M over the interconnect to that of metal M over the dielectric is greater than or equal to 30. In an implementation, the weight ratio of metal M over the interconnect to that of metal M over the dielectric is greater than or equal to 40.
- the metal M is Ru. In an implementation, the metal M is Ru and the heteroatom is N. In an implementation, the via is from about 1 nm to about 150 nm thick. [0051] In an implementation, disclosed is a method for the preparation of a hetero-pentadienyl metal complex of formula I:
- L is a ligand; n is 1 , 2 or 3;
- X is NR 1 , S(0)(0), S(0)(R 1 )(R 1 ), S(R 1 )(R 1 ), P(0)(R 1 ), P(R 1 )(R 1 )(R 1 ) or O; each R independently is hydrogen or a straight or branched chain C C 6 alkyi; each R 1 independently is hydrogen or a straight or branched chain C C 6 alkyi; and M is a first row late transition metal or a platinum group metal; the method comprising preparing a hetero-pentadienyl ligand of formula la:
- a salt of the hetero-pentadienyl ligand comprising reacting a metal-halogen compound with the hetero-pentadienyl ligand salt to form a hetero-pentadienyl metal complex and purifying the hetero-pentadienyl metal complex.
- Purification comprises removal of complexed organics. Removal of organics may be performed under reduced pressure. Purification comprises sublimation.
- Fig. 2 illustrates an interposer device 200 according to implementations.
- device 200 is an integrated circuit comprising a via 105 over an interconnect 208 disposed within a dielectric material. It may be noted that one or more vias of interposer device 200 may be formed using one or more implementations of the present disclosure, Device 200 is an intervening substrate used to bridge a first substrate 202 to a second substrate 204.
- the first substrate 202 may be an integrated circuit die.
- the second substrate 204 may be a memory module, a computer motherboard or another integrated circuit die.
- the purpose of interposer device 200 is to spread a connection to a wider pitch or to reroute a connection to a different connection.
- Device 200 may couple an integrated circuit die 202 to a ball grid array (BGA) 206 that can subsequently be coupled to the second substrate 204.
- BGA ball grid array
- the first and second substrates 202/204 are attached to opposing sides of the interposer 200.
- the first and second substrates 202/204 are attached to the same side of interposer device 200.
- three or more substrates are interconnected by way of device 200.
- the interposer device 200 may be formed of an epoxy resin, a fiberglass-reinforced epoxy resin, a ceramic material or a polymer material such as polyimide. In further
- the interposer may be formed of alternate rigid or flexible materials that may include the same materials described above for use in a semiconductor substrate, such as silicon, germanium and other group lll-V and group IV materials.
- Interposer device 200 may include metal interconnects 208 and vias 105, including but not limited to through-silicon vias (TSVs) 212.
- the interposer device 200 may further include embedded devices 214, including both passive and active devices.
- Such devices include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors and electrostatic discharge (ESD) devices. More complex devices such as radio-frequency (RF) devices, power amplifiers, power management devices, antennas, arrays, sensors and MEMS devices may also be formed on the interposer device 200.
- RF radio-frequency
- apparatuses or processes disclosed herein may be used in the fabrication of the interposer device 200 and/or may be interfaced directly with device 200.
- Fig. 3 illustrates a computing device 300 built in accordance with implementations of the disclosure.
- the computing device 300 may include a number of components. In one implementation, these components are attached to one or more motherboards. In an alternate implementation, some or all of these components are fabricated onto a single system-on-a-chip (SoC) die, such as an SoC used for mobile devices.
- SoC system-on-a-chip
- the components in the computing device 300 include, but are not limited to, an integrated circuit 302 according to an implementation and at least one communications logic unit 308.
- the communications logic unit 308 is fabricated within the integrated circuit 302 while in other implementations the communications logic unit 308 is fabricated in a separate integrated circuit chip that may be bonded to a substrate or motherboard that is shared with or electronically coupled to the integrated circuit 302.
- the integrated circuit 302 may include a processor 304 (e.g., central processing unit (CPU)) as well as on-die memory 306, often used as cache memory, that can be provided by technologies such as embedded DRAM (eDRAM), SRAM or spin-transfer torque memory (STT-MRAM). It may be noted that, in certain implementations, the integrated circuit 302 may include fewer elements (e.g., without the processor 304 and/or on-die memory 306) or additional elements other than the processor 304 and on-die memory 306. In one
- integrated circuit 302 may include one or more micro light emitting diode (LED) arrays 305 with or without the processor 304 and/or on-die memory 306.
- integrated circuit 302 may be a part of a micro LED-based display device with multiple micro LED arrays 305 and a thin film transistor (TFT) backplane, with or without the processor 304 and/or on-die memory 306.
- the integrated circuit 302 may include some or all the elements described herein, as well as include additional elements. It may be noted that in implementations, that some or all the elements (e.g., LED 305, processor 304, or memory 306) of integrated circuit 302 may include circuit structure 106, as described herein.
- Computing device 300 may include other components that may or may not be physically and electrically coupled to the motherboard or fabricated within an SoC die. These other components include, but are not limited to, volatile memory 310 (e.g., DRAM), non-volatile memory 312 (e.g., ROM or flash memory), a graphics processing unit 314 (GPU), a digital signal processor 316, a crypto processor 342 (e.g., a specialized processor that executes cryptographic algorithms within hardware), a chipset 320, an antenna 322 (in some
- two or more antenna may be used), a display or a touchscreen display 324 (e.g., incorporating one more arrays of the micro LEDs disclosed herein), a touchscreen controller 326, a battery 330 or other power source, a power amplifier (not shown), a voltage regulator (not shown), a global positioning system (GPS) device 328 (which may further include a compass), a motion coprocessor or sensors 332 (that may include an accelerometer, a gyroscope and a compass), a microphone (not shown), a speaker 334, a camera 336, user input devices 338 (such as a keyboard, mouse, stylus and touchpad) and a mass storage device 340 (such as hard disk drive, compact disk (CD), digital versatile disk (DVD) and so forth).
- the computing device 300 may incorporate further transmission, telecommunication or radio functionality not already described herein.
- the computing device 300 includes a radio that is used to communicate over a distance by modulating and
- the computing device 300 includes a transmitter and a receiver (or a transceiver) that is used to communicate over a distance by modulating and radiating electromagnetic waves in air or space.
- the communications logic unit 308 enables wireless communications for the transfer of data to and from the computing device 300.
- wireless and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non-solid medium. The term does not imply that the associated devices do not contain any wires, although in some implementations they might not.
- a first communications logic unit 308 may be dedicated to shorter range wireless communications such as Wi-Fi, NFC and Bluetooth and a second communications logic unit 308 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO and others.
- the processor 304 (also referred to "processing device” herein) of the computing device 300 includes one or more devices, such as transistors, RF filters, or LEDs, that are formed in accordance with implementations of the present disclosure.
- Processor 304 may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
- Processor 304 represents one or more general- purpose processing devices such as a microprocessor, a central processing unit, or the like. More particularly, the processor 304 may be complex instruction set computing (CISC) microprocessor, reduced instruction set computing (RISC) microprocessor, very long instruction word (VLIW) microprocessor, or processor implementing other instruction sets, or processors implementing a combination of instruction sets.
- Processor 304 may also be one or more special-purpose processing devices such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), network processor, or the like.
- ASIC application specific integrated circuit
- FPGA field programmable gate array
- DSP digital signal processor
- the communications logic unit 308 may also include one or more devices, such as transistors, RF filters, or LEDs, that are formed in accordance with implementations of the present disclosure.
- another component housed within the computing device 300 may contain one or more devices, such as transistors, RF filters, or LEDs, that are formed in accordance with implementations of the present disclosure.
- the computing device 300 may be a laptop computer, a netbook computer, a notebook computer, an ultrabook computer, a smartphone, a dumbphone, a tablet, a tablet/laptop hybrid, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder.
- the computing device 300 may be any other electronic device that processes data.
- Implementations disclosed herein may be used in the manufacture of a wide variety of different types of integrated circuits and/or microelectronic devices. Examples of such integrated circuits include, but are not limited to, processors, chipset components, graphics processors, digital signal processors, micro-controllers and the like. In other implementations, semiconductor memory may be manufactured. Moreover, the integrated circuits or other microelectronic devices may be used in a wide variety of electronic devices known in the arts. For example, in computer systems (e.g., desktop, laptop, server), cellular phones, personal electronics, etc. The integrated circuits may be coupled with a bus and other components in the systems. A processor may be coupled by one or more buses to a memory, a chipset, etc. Each of the processor, the memory and the chipset, may potentially be manufactured using the approaches disclosed herein.
- any ranges cited herein are inclusive.
- the term “about” used throughout is used to describe and account for small fluctuations.
- the term “about” may mean the numeric value may be modified by ⁇ 5%, ⁇ 4%, ⁇ 3%, ⁇ 2%, ⁇ 1 %, ⁇ 0.5%, ⁇ 0.4%, ⁇ 0.3%, ⁇ 0.2%, ⁇ 0.1 % or ⁇ 0.05%. All numeric values are modified by the term “about” whether or not explicitly indicated. Numeric values modified by the term “about” include the identified value; that is "about 5.0” includes 5.0. Measureable levels of atoms, elements or molecules may depend on the method of detection. In part, the term “about” is intended to provide for this.
- the terms “over,” “above” “under,” “between,” and “on” as used herein refer to a relative position of one material layer or component with respect to other layers or components.
- one layer disposed above or over or under another layer may be directly in contact with the other layer or may have one or more intervening layers.
- one layer disposed between two layers may be directly in contact with the two layers or may have one or more intervening layers.
- a first layer “on” a second layer is in direct contact with that second layer.
- one feature disposed between two features may be in direct contact with the adjacent features or may have one or more intervening layers.
- example or “exemplary” are used herein to mean serving as an example, instance, or illustration. Any aspect or design described herein as “example' or “exemplary” is not necessarily to be construed as preferred or advantageous over other aspects or designs. Rather, use of the words “example” or “exemplary” is intended to present concepts in a concrete fashion.
- the term “or” is intended to mean an inclusive “or” rather than an exclusive “or.” That is, unless specified otherwise, or clear from context, "X includes A or B" is intended to mean any of the natural inclusive permutations.
- Implementations of the disclosure may be formed or carried out on a substrate, such as a semiconductor substrate.
- the semiconductor substrate may be a crystalline substrate formed using a bulk silicon or a silicon-on-insulator substructure.
- the semiconductor substrate may be formed using alternate materials, which may or may not be combined with silicon, that include but are not limited to germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, indium gallium arsenide, gallium antimonide, or other combinations of group lll-V or group IV materials.
- any material that may serve as a foundation upon which a semiconductor device may be built falls within the spirit and scope of the present disclosure.
- a plurality of transistors such as metal-oxide-semiconductor field-effect transistors (MOSFET or simply MOS transistors), may be fabricated on the substrate.
- MOSFET metal-oxide-semiconductor field-effect transistors
- the MOS transistors may be planar transistors, nonplanar transistors, or a combination of both.
- Nonplanar transistors include FinFET transistors such as double-gate transistors and tri-gate transistors, and wrap-around or all-around gate transistors such as nanoribbon and nanowire transistors.
- Each MOS transistor includes a gate stack formed of at least two layers, a gate dielectric layer and a gate electrode layer.
- the gate dielectric layer may include one layer or a stack of layers.
- the one or more layers may include silicon oxide, silicon dioxide (Si0 2 ) and/or a high-k dielectric material.
- the high-k dielectric material may include elements such as hafnium, silicon, oxygen, titanium, tantalum, lanthanum, aluminum, zirconium, barium, strontium, yttrium, lead, scandium, niobium, and zinc.
- high-k materials that may be used in the gate dielectric layer include, but are not limited to, hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate.
- an annealing process may be carried out on the gate dielectric layer to improve its quality when a high-k material is used.
- the gate electrode layer is formed on the gate dielectric layer and may consist of at least one P-type workfunction metal or N-type workfunction metal, depending on whether the transistor is to be a PMOS or an NMOS transistor.
- the gate electrode layer may consist of a stack of two or more metal layers, where one or more metal layers are workfunction metal layers and at least one metal layer is a fill metal layer. Further metal layers may be included for other purposes, such as a barrier layer.
- metals that may be used for the gate electrode include, but are not limited to, ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides, e.g., ruthenium oxide.
- a P-type metal layer will enable the formation of a PMOS gate electrode with a workfunction that is between about 4.9 eV and about 5.2 eV.
- metals that may be used for the gate electrode include, but are not limited to, hafnium, zirconium, titanium, tantalum, aluminum, alloys of these metals, and carbides of these metals such as hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, and aluminum carbide.
- An N-type metal layer will enable the formation of an NMOS gate electrode with a workfunction that is between about 3.9 eV and about 4.2 eV.
- the gate electrode when viewed as a cross-section of the transistor along the source-channel-drain direction, may consist of a "U"-shaped structure that includes a bottom portion substantially parallel to the surface of the substrate and two sidewall portions that are substantially perpendicular to the top surface of the substrate.
- at least one of the metal layers that form the gate electrode may simply be a planar layer that is substantially parallel to the top surface of the substrate and does not include sidewall portions substantially perpendicular to the top surface of the substrate.
- the gate electrode may consist of a combination of U-shaped structures and planar, non-U-shaped structures.
- the gate electrode may consist of one or more U-shaped metal layers formed atop one or more planar, non-U-shaped layers.
- a pair of sidewall spacers may be formed on opposing sides of the gate stack that bracket the gate stack.
- the sidewall spacers may be formed from a material such as silicon nitride, silicon oxide, silicon carbide, silicon nitride doped with carbon, and silicon oxynitride. Processes for forming sidewall spacers are well known in the art and generally include deposition and etching process operations. In an alternate implementation, a plurality of spacer pairs may be used, for instance, two pairs, three pairs, or four pairs of sidewall spacers may be formed on opposing sides of the gate stack.
- source and drain regions are formed within the substrate adjacent to the gate stack of each MOS transistor.
- the source and drain regions may be formed using either an implantation/diffusion process or an etching/deposition process.
- dopants such as boron, aluminum, antimony, phosphorous, or arsenic may be ion- implanted into the substrate to form the source and drain regions.
- An annealing process that activates the dopants and causes them to diffuse further into the substrate typically follows the ion implantation process.
- the substrate may first be etched to form recesses at the locations of the source and drain regions.
- the source and drain regions may be fabricated using a silicon alloy such as silicon germanium or silicon carbide.
- the epitaxially deposited silicon alloy may be doped in situ with dopants such as boron, arsenic, or phosphorous.
- the source and drain regions may be formed using one or more alternate semiconductor materials such as germanium or a group lll-V material or alloy.
- one or more layers of metal and/or metal alloys may be used to form the source and drain regions.
- one or more interlayer dielectrics are deposited over the MOS transistors.
- the ILD layers may be formed using dielectric materials known for their applicability in integrated circuit structures, such as low-k dielectric materials. Examples of dielectric materials that may be used include, but are not limited to, silicon dioxide (Si0 2 ), carbon doped oxide (CDO), silicon nitride, organic polymers such as perfluorocyclobutane or polytetrafluoroethylene, fluorosilicate glass (FSG), and organosilicates such as silsesquioxane, siloxane, or organosilicate glass.
- the ILD layers may include pores or air gaps to further reduce their dielectric constant.
- a 3-4 g mixture of MgS0 4 and 3-methylcrotonaldehyde (1 eq.; -10 g) in 200 mL dry methylene chloride is cooled to 0°C under a N 2 atmosphere.
- t-butylamine (1 .1 eq.) is added dropwise over 20 minutes using a syringe.
- the reaction is left in the ice bath and allowed to slowly warm up to room temperature overnight (16 h).
- the reaction mixture is filtered through a frit in a glovebox and the solvent and all volatiles are removed under vacuum (4 h).
- the ligand is not volatile under vacuum at room temperature.
- the product is collected as a viscous yellow oil and stored over molecular sieves (4 A) overnight; yield of t- butylazapentadiene is 95%.
- Example 2 Chemical Vapor Deposition
- the Ru(t-butyl-N-pentadienyl) 2 complex prepared according to Example 1 is placed in a stainless steel ampoule and heated at 200 °C using a reactive carrier gas facilitating vaporization of the metal complex and combustion of the ligand.
- Ruthenium is deposited on patterned substrates consisting of metal and ILD at growth rate of greater than or equal to 90nm/h, yielding 95% and higher pure Ru metal films on the metal surface with deposition selectivity of 40:1 on the metal vs. ILD surface.
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Computer Hardware Design (AREA)
- General Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
Metal layers including a metal M are selectively deposited on metal surfaces via chemical vapor deposition or atomic layer deposition from a hetero-pentadienyl metal complex precursor of formula (I), wherein L is a ligand; n is 1, 2 or 3; X is NR1, S(O)(O), S(O)(R1)(R1), S(R1)(R1), P(O)(R1), P(R1)(R1)(R1) or O; each R independently is hydrogen or a straight or branched chain C1-C6 alkyl; each R1 independently is hydrogen or a straight or branched chain C1-C6 alkyl; and M is a first row late transition metal or a platinum group metal.
Description
SELECTIVE AREA DEPOSITION OF METAL LAYERS FROM HETERO-PENTADIENYL
METAL COMPLEX PRECURSORS
Background
[0001] In integrated circuit design, a via, or vertical interconnect access, is a small opening in an insulating layer that provides an electrical connection between different layers. Vias electrically connect metal lines or other interconnects above the vias to metal lines or other interconnects below the vias.
Brief Description of the Drawings
[0002] The disclosure described herein is illustrated by way of example and not by way of limitation in the accompanying figures. For simplicity and clarity of illustration, features illustrated in the figures are not necessarily drawn to scale. For example, the dimensions of some features may be exaggerated relative to other features for clarity. Further, where considered appropriate, reference labels have been repeated among the figures to indicate corresponding or analogous elements.
[0003] Fig. 1 is a diagram showing selectivity for metal-over-metal deposition vs. metal-over- interlayer dielectric (ILD) deposition from a hetero-pentadienyl metal complex precursor, in accordance with an implementation of the disclosure.
[0004] Fig. 2 is an interposer for use with one or more of the implementations of the disclosure.
[0005] Fig. 3 is a computing device built in accordance with implementations of the disclosure.
Detailed Description
[0006] In the following description, various aspects of the illustrative implementations will be described using terms commonly employed by those skilled in the art to convey the substance of their work to others skilled in the art. However, it will be apparent to those skilled in the art that the present disclosure may be practiced with only some of the described aspects. For purposes of explanation, specific numbers, materials and configurations are set forth in order to provide a thorough understanding of the illustrative implementations. However, it will be apparent to one skilled in the art that the present disclosure may be practiced without the specific details. In other instances, well-known features are omitted or simplified in order not to obscure the illustrative implementations.
[0007] Scaling of features in integrated circuits is ever-decreasing as the drive for more capacity is ever-increasing. Integrated circuits contain electrically conductive structures, also referred to as vias, to electrically connect metal lines or other interconnects above the vias to metal lines or other interconnects below the vias. Sizing and spacing of vias has progressively decreased and the trend is expected to continue. In some implementations, one measure of the
size of vias is the dimension of the via opening. In implementations, one measure of the spacing of the vias is the via pitch. In implementations, via pitch represents the center-to-center distance between the closest adjacent vias.
[0008] Current methods of forming vias include lithographic processes. Lithographic methods face challenges regarding smaller features sizes. For example, extremely small via pitches are generally below the resolution capabilities of even extreme ultraviolet (EUV) lithographic scanners. Lithographic processes require the costly use of multiple masks. If via pitches continue to decrease, it may not be possible, even with the use of multiple masks, to print via openings for these extremely small pitches.
[0009] Aspects of the present disclosure address these and other deficiencies with selective area deposition of metal films or metal layers by atomic layer deposition (ALD) or chemical vapor deposition (CVD) from metal complex precursors with certain built-in structural features, such as metal complex precursor hetero-pentadienyl metal complexes of formula I, as described below. The metal complex precursor structural features enable ALD or CVD of late transition metals or platinum group metals on metallic surfaces while avoiding deposition on adjacent non- metal surfaces. Implementations described herein enable a more robust interconnect fabrication scheme, as well as enable the manufacture of other circuits or structures of an integrated circuit.
[0010] Some implementations described herein involve the fabrication of metal and via patterns based on the positions of an underlying layer. In some implementations, a metal interconnect process is effectively reversed from some top down patterning approaches and built from the previous layer up. For example, in some top down patterning approaches an interlayer dielectric (ILD) is first deposited, with a pattern for metal and via layers subsequently patterned therein. In some top down patterning approaches, alignment to a previous layer is performed using a lithography scanner alignment system followed by etching.
[0011] Implementations described herein may be used to enable electroless via bottom-up fill on non-catalytic metals and can also enable self-aligned bottom-up interconnect design.
Selective deposition of ruthenium (Ru) on tungsten (W) may be used for growth of a catalytic cap on W at the bottom of a via structure in a low-k ILD material. Selective deposition of a Ru cap on under-lying exposed W contacts through a via opening formed in a low-k ILD material using the hetero-pentadienyl metal complex precursors can be used to enable bottom-up electroless via fill.
[0012] The nature of one or more of the processes described herein (e.g., precursor type, surfaces and deposition schemes) render one or more of the approaches applicable for back end of line (BEOL) integration and self-aligned patterning schemes.
[0013] Implementations described herein enable fabrication, by selective metal deposition, of vias that are directly centered on underlying metal lines. For example, the vias may be wider
than, narrower than or the same thickness as the underlying metal lines, e.g., due to non-perfect selective etch processing. In an implementation, the centers of the vias are directly aligned (match up) with the centers of the metal lines.
[0014] In implementations, approaches are provided for the deposition of various transition metals selectively on metal surfaces, while avoiding deposition on contiguous, hydrophilic and generic low-k dielectric surfaces. In one such implementation, selective metal deposition is accomplished by thermal ALD or CVD using a hetero-pentadienyl metal complex precursor optionally together with a suitable co-reactant such as a hydrogen, ammonia, hydrazine or oxygen. The selective deposition may be performed with or without pre-treatment of an adjacent or nearby low-k substrate. Pre-treatments include pre-cleaning, chemical passivation or mechanical pre-treatment.
[0015] In an implementation, the metal complex precursors are hetero-pentadienyl metal complexes of formula I:
(L)n
[0016] where
[0017] L is a ligand;
[0018] n is 1 , 2 or 3;
[0019] X is NR1 , S(0)(0), S(0)(R1)(R1), S(R1)(R1), P(0)(R1), P(R1)(R1)(R1) or O;
[0020] each R independently is hydrogen or a straight or branched chain d-C6 alkyl;
[0021] each R1 independently is hydrogen or a straight or branched chain d-C6 alkyl; and
[0022] M is a first row late transition metal atom or a platinum group metal atom.
[0023] In some implementations, first row late transition metals include manganese (Mn), iron (Fe), cobalt (Co), nickel (Ni) or copper (Cu). In some implementations, platinum group metals include ruthenium (Ru), rhodium (Rh), palladium (Pd), osmium (Os), iridium (Ir) or platinum (Pt).
[0024] In an implementation, the hetero-pentadienyl metal complex may be heteroleptic, that is, where n is 1 and L is a hetero-pentadienyl ligand not identical to the hetero-pentadienyl ligand:
[0025] In another implementation, the metal complex may be homoleptic, that is, where L is an identical hetero-pentadienyl ligand and n is 1 . In an implementation, X is S(0)(0), S(0)(R1)(R1), S(R1)(R1), P(0)(R1) or P(R1)(R1)(R1) or X is NR1.
[0026] In an implementation, ligand L may be trispyrazolyborate, ethylcyclopentadienyl, 2,4- dimethylpentadienyl, pyrrolyl, 2,2,6,6-tetramethyl-3,5-hepanedionato, cycloocta-1 ,3,5,7- tetraene, cyclohexadiene, N,N-di-t-butylacetamidinato, ethylene, hexene, cyclooctadiene, diethyl ether, tetrahydrofuran, acetonitrile, CO, NH3 or PY3 where each Y is independently phenyl or hydrogen or a straight or branched chain C C6 alkyl.
[0027] In an implementation, M is Ru and X is NR1 ; in an implementation, M is Ru and X is NR1 where R1 is t-butyl. This hetero-pentadienyl metal complex is of formula:
[0028] Fig. 1 illustrates a diagram 100 showing via preparation by selective metal deposition over a metal interconnect vs. over an interlayer dielectric (ILD) from a hetero-pentadienyl metal complex precursor 101 . The hetero-pentadienyl metal complex precursor 101 in this
implementation has two t-butylamino-pentadienyl ligands complexed with metal M. In one implementation, metal M is ruthenium. Hetero-pentadienyl metal complex precursor 101 encompasses the homoleptic ruthenium complex of Example 1 (described below) where each 3-position R group is methyl and other R groups are hydrogen. In implementations, the R groups of the two ligands of hetero-pentadienyl metal complex precursor 101 are not identical, providing a heteroleptic ruthenium complex. Referring to diagram 100, the top surface of integrated circuit substrate 102 is provided having exposed ILD portions 103 and an exposed interconnect metal portion 104. A hetero-pentadienyl metal complex precursor 101 is inhibited
from depositing on the exposed ILD portions 103 and is encouraged to deposit on the exposed interconnect metal portion 104. In one implementation, the selective deposition is achieved without protecting or passivating either the exposed ILD portions 103 or the exposed
interconnect metal portion 104 and, as such, the deposition occurs selectively on the exposed interconnect metal portion 104 while the exposed ILD portions 103 are exposed to the metal complex precursors. In an implementation, the exposed interconnect metal portion 104 is a pure metal such as, but not limited to, copper, nickel, cobalt, manganese, ruthenium, molybdenum or tungsten, or a conductive metal containing such as, but not limited to, titanium nitride, vanadium nitride or tungsten nitride. In diagram 100, a via 105 comprising metal M, in this implementation ruthenium, is formed on the metal interconnect portion 104 as shown, to provide a surface of an integrated circuit with exposed ILD portions and a via comprising metal M formed on an exposed interconnect metal portion. In an implementation, circuit structure 106 is part of an integrated circuit. In implementations, the via 105 may contain some residual heteroatom nitrogen and/or carbon originating from the hetero-pentadienyl metal complex precursor 101. Implementations disclosed herein are applied to electrical vias for purposes of illustration, and not limitation. It may be noted that the implementations described herein may be applied to a wide variety of different types of integrated circuits, microelectronic devices, and other substrate surfaces, circuits, and circuit structures in the aforementioned.
[0029] In implementations, a method of depositing a metal M on a substrate surface, the method comprising providing a substrate surface and depositing the metal M from a hetero- pentadienyl metal complex of formula I is disclosed.
[0030] In an implementation, depositing the metal M forms a layer comprising metal M. In an implementation the layer comprising metal M is from about 1 nm to about 150 nm thick.
[0031] Bulky R1 and/or R group substituents of the metal complex of formula I, such as t-butyl, may protect the metal center from direct undesirable reactions with an adjacent or nearby dielectric surface by providing an effective hydrophobic pocket. The selectivity for metal surfaces is achieved by direct interaction of the hetero-pentadienyl ligand with the conducting sea of electrons on the metal surface. Straight or branched chain alkyl includes methyl, ethyl, n- propyl, i-propyl, n-butyl, i-butyl, t-butyl, n-pentyl, t-pentyl, neopentyl, i-pentyl, sec-pentyl, 3- pentyl, n-hexyl, 2-methylpentyl, 3-methylpentyl, 2-ethylbutyl, 3-ethylbutyl, 2,3-dimethylbutyl or ethylpropyl.
[0032] In an implementation, as is also used throughout the present description, interconnect material, such as the material of metal lines, comprises one or more metals or other conductive structures. Copper lines or structures may or may not include barrier layers between the copper and surrounding ILD material. As used herein, the term metal includes alloys, stacks and other combinations of multiple metals. Metal interconnect lines may include barrier layers, stacks of
different metals or alloys, etc. Interconnect lines are also sometimes referred to in the arts as traces, wires, lines, metal or simply interconnect.
[0033] In an implementation, the metal surface or interconnect (exposed metal surface) on which deposition occurs may comprise copper (Cu), nickel (Ni), cobalt (Co), manganese (Mn), ruthenium (Ru), molybdenum (Mb) or tungsten (W).
[0034] In an implementation, as used throughout the present description, interlayer dielectric (ILD) material, such as the material of interlayer dielectric lines, comprises a layer of a dielectric or insulating material. Examples of suitable dielectric materials include, but are not limited to, oxides of silicon (e.g., silicon dioxide (Si02)), doped oxides of silicon, fluorinated oxides of silicon, carbon doped oxides of silicon, carbon doped oxide (CDO), silicon nitride, organic polymers such as perfluorocyclobutane or polytetrafluoroethylene, fluorosilicate glass (FSG), and organosilicates such as silsesquioxane, siloxane, organosilicate glass or combinations thereof. The ILD layers may include pores or air gaps to further reduce their dielectric constant. The interlayer dielectric material may be formed by conventional techniques, such as chemical vapor deposition (CVD), physical vapor deposition (PVD) or by other deposition methods.
[0035] In an implementation, depositing the metal M forms a layer comprising metal M and one or more nitrogen (N), oxygen (O), phosphorous (P) or sulfur (S) heteroatoms. In an
implementation, depositing the metal M forms a layer comprising metal M and one or more N, S or P heteroatoms.
[0036] Final via interconnect structures may comprise detectable discrete layers of the first row late transition metals or platinum group metals, such as chromium (Cr), manganese (Mn), iron (Fe), cobalt (Co), nickel (Ni), ruthenium (Ru), rhodium (Rh), platinum (Pt) or palladium (Pd). Standard microscopic or spectroscopic analysis techniques may be used to detect the layers (e.g. scanning electron microscopy (SEM), transmission electron microscopy (TEM), X-ray photoelectron spectroscopy (XPS), atomic emission spectroscopy (AES), energy dispersive spectroscopy (EDS), electron energy loss spectroscopy (EELS), etc.) at the bottom of an via interconnect structure along with their absence in an adjacent dielectric surface.
[0037] In some implementations, the present methods may result in low levels of carbon present in the deposited metal layers. In an implementation, the deposited metal layers comprise less than or equal to 9.7, less than or equal to 9.0, less than or equal to 8.0, less than or equal to 7.0, less than or equal to 6.0, less than or equal to 5.0, less than or equal to 4.0, less than or equal to 3.0, less than or equal to 2.0 or less than or equal to 1 .0 percent by weight carbon, based on the total weight of the deposited metal layer. The deposited metal layers may contain carbon from about 0.01 or from about 0.2 to about 9.7 weight percent, based on the total weight of the final deposited metal layer. Carbon present in the layer comprising metal M may originate from the hetero-pentadienyl ligand.
[0038] In some implementations, the present methods may result in low levels of the presence of one or more nitrogen (N), oxygen (O), phosphorus (P) or sulfur (S) heteroatoms being present in the final deposited metal layer, which may originate from the hetero-pentadienyl ligand. The deposited metal layer may comprise from about 0.3, about 0.5, about 0.8, about 1 .0 or about 2.0 to about 3.0, about 4.0, about 5.0, about 6.0, about 7.0, about 8.0, about 9.0 or about 9.9 weight percent of one or more N, O, P and S atoms, based on the total weight of the deposited metal layer. In an implementation, depositing the metal M forms a layer which comprises greater than or equal to 90 weight percent metal M.
[0039] In implementations, metal layers may be formed on or above an underlying
semiconductor substrate or structure (not shown), such as underlying device layer(s) of an integrated circuit. A substrate, such as a semiconductor substrate, may be used to manufacture integrated circuits. The semiconductor substrate may include a wafer or other piece of silicon or another semiconductor material. Suitable semiconductor substrates include single crystal silicon, polycrystalline silicon or silicon on insulator (SOI), as well as similar substrates formed of other semiconductor materials. The semiconductor substrate, depending on the stage of manufacture, often includes transistors, integrated circuitry and the like. The substrate may also include semiconductor materials, metals, dielectrics, dopants and other materials commonly found in semiconductor substrates. Furthermore, the structure may be fabricated on underlying lower level interconnect layers.
[0040] In an implementation, metal M is deposited from a hetero-pentadienyl metal complex of formula I where M is a first row late transition metal atom or a platinum group metal atom. In an implementation, metal M is Mn, Fe, Co, Ni or Cu or is Ru, Rh, Pd, Os, Ir or Pt.
[0041] In an implementation of the present methods, metal M in the hetero-pentadienyl metal complex precursor is Ru and X is NR1. In an implementation, M is Ru and X is N(t-butyl). In an implementation, the hetero-pentadienyl metal complex precursor is homoleptic where each X is
N(t-butyl).
[0042] In an implementation, the deposition is performed at a temperature of from about 150°C (degree Celsius) to about 500 °C. In an implementation, the deposition is performed at a temperature of from about 200 °C to about 450 °C.
[0043] In an implementation, the substrate surface comprises one or more metal regions and one or more non-metal regions where the metal M is selectively deposited on the metal regions. In an implementation, the weight ratio of the metal M deposited on the metal regions to that of the metal M deposited on the non-metal regions is greater than or equal to 20. In an
implementation, the weight ratio of the metal M deposited on the metal regions to that of the metal M deposited on the non-metal regions is greater than or equal to 30. In an
implementation, the weight ratio of the metal M deposited on the metal regions to that of the metal M deposited on the non-metal regions is greater than or equal to 40.
[0044] In an implementation, the present metal deposition methods comprise fabrication of a via interconnect structure of an integrated circuit. In an implementation, a method of fabricating a via interconnect structure for an integrated circuit comprises forming a plurality of metal contacts in a dielectric layer to provide exposed regions of the dielectric layer and exposed regions of the metal contacts. The method also comprises forming, using a selective metal atomic layer deposition (ALD) or chemical vapor deposition (CVD) process, a metal layer on the exposed regions of the metal contacts without forming the metal layer on the exposed regions of the dielectric layer. The metal contacts may include tungsten (W).
[0045] In an implementation, disclosed is an integrated circuit comprising a dielectric material; an interconnect disposed within the dielectric material; and a via over the interconnect; where the via comprises a metal M and one or more heteroatoms, where the metal M is a first row late transition metal or a platinum group metal and the one or more heteroatoms are at least one of nitrogen (N), oxygen (O), phosphorous (P) or sulfur (S) atoms. In an implementation, the via comprises one or more N, P or S heteroatoms. Presence of the heteroatoms in the via may originate from the hetero-pentadienyl metal complex precursor.
[0046] In an implementation, the interconnect comprises a metal such as copper (Cu), nickel (Ni), cobalt (Co), manganese (Mn), ruthenium (Ru), molybdenum (Mb) or tungsten (W). In an implementation, the metal M is manganese (Mn), iron (Fe), cobalt (Co), nickel (Ni), copper (Cu), ruthenium (Ru), rhodium (Rh), palladium (Pd), osmium (Os), iridium (Ir) or platinum (Pt).
[0047] In some implementations, the processes provide for deposition of metal layers containing high levels of the desired metal. In an implementation, the via comprises greater than or equal to 90 weight percent metal M. In an implementation, the via comprises from about 0.3 to about 9.9 weight percent of the heteroatoms or from about 0.5 to about 6.0 weight percent of the heteroatoms.
[0048] In an implementation, the via comprises metal M, the one or more heteroatoms and carbon. In an implementation, the via comprises from about 0.01 to about 9.7 weight percent carbon. In an implementation, the via comprises from about 0.01 to about 7.0 weight percent carbon. Presence of carbon in the via may originate from the hetero-pentadienyl metal complex precursor.
[0049] In an implementation, the dielectric material comprises silicon dioxide or a low-k dielectric material. The weight ratio of metal M over the interconnect to that of metal M over the dielectric material may be greater than or equal to 20. In an implementation, the weight ratio of metal M over the interconnect to that of metal M over the dielectric is greater than or equal to 30. In an implementation, the weight ratio of metal M over the interconnect to that of metal M over the dielectric is greater than or equal to 40.
[0050] In an implementation, the metal M is Ru. In an implementation, the metal M is Ru and the heteroatom is N. In an implementation, the via is from about 1 nm to about 150 nm thick.
[0051] In an implementation, disclosed is a method for the preparation of a hetero-pentadienyl metal complex of formula I:
(L)n where L is a ligand; n is 1 , 2 or 3; X is NR1 , S(0)(0), S(0)(R1)(R1), S(R1)(R1), P(0)(R1), P(R1)(R1)(R1) or O; each R independently is hydrogen or a straight or branched chain C C6 alkyi; each R1 independently is hydrogen or a straight or branched chain C C6 alkyi; and M is a first row late transition metal or a platinum group metal; the method comprising preparing a hetero-pentadienyl ligand of formula la:
forming a salt of the hetero-pentadienyl ligand; reacting a metal-halogen compound with the hetero-pentadienyl ligand salt to form a hetero-pentadienyl metal complex and purifying the hetero-pentadienyl metal complex. Purification comprises removal of complexed organics. Removal of organics may be performed under reduced pressure. Purification comprises sublimation.
[0052] Fig. 2 illustrates an interposer device 200 according to implementations. In an implementation, device 200 is an integrated circuit comprising a via 105 over an interconnect 208 disposed within a dielectric material. It may be noted that one or more vias of interposer device 200 may be formed using one or more implementations of the present disclosure, Device 200 is an intervening substrate used to bridge a first substrate 202 to a second substrate 204. The first substrate 202 may be an integrated circuit die. The second substrate 204 may be a memory module, a computer motherboard or another integrated circuit die. Generally, the purpose of interposer device 200 is to spread a connection to a wider pitch or to reroute a connection to a different connection. Device 200 may couple an integrated circuit die 202 to a
ball grid array (BGA) 206 that can subsequently be coupled to the second substrate 204. In some implementations, the first and second substrates 202/204 are attached to opposing sides of the interposer 200. In other implementations, the first and second substrates 202/204 are attached to the same side of interposer device 200. And in further implementations, three or more substrates are interconnected by way of device 200.
[0053] The interposer device 200 may be formed of an epoxy resin, a fiberglass-reinforced epoxy resin, a ceramic material or a polymer material such as polyimide. In further
implementations, the interposer may be formed of alternate rigid or flexible materials that may include the same materials described above for use in a semiconductor substrate, such as silicon, germanium and other group lll-V and group IV materials.
[0054] Interposer device 200 may include metal interconnects 208 and vias 105, including but not limited to through-silicon vias (TSVs) 212. The interposer device 200 may further include embedded devices 214, including both passive and active devices. Such devices include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors and electrostatic discharge (ESD) devices. More complex devices such as radio-frequency (RF) devices, power amplifiers, power management devices, antennas, arrays, sensors and MEMS devices may also be formed on the interposer device 200.
[0055] In accordance with implementations of the disclosure, apparatuses or processes disclosed herein may be used in the fabrication of the interposer device 200 and/or may be interfaced directly with device 200.
[0056] Fig. 3 illustrates a computing device 300 built in accordance with implementations of the disclosure. The computing device 300 may include a number of components. In one implementation, these components are attached to one or more motherboards. In an alternate implementation, some or all of these components are fabricated onto a single system-on-a-chip (SoC) die, such as an SoC used for mobile devices. The components in the computing device 300 include, but are not limited to, an integrated circuit 302 according to an implementation and at least one communications logic unit 308. In some implementations the communications logic unit 308 is fabricated within the integrated circuit 302 while in other implementations the communications logic unit 308 is fabricated in a separate integrated circuit chip that may be bonded to a substrate or motherboard that is shared with or electronically coupled to the integrated circuit 302. The integrated circuit 302 may include a processor 304 (e.g., central processing unit (CPU)) as well as on-die memory 306, often used as cache memory, that can be provided by technologies such as embedded DRAM (eDRAM), SRAM or spin-transfer torque memory (STT-MRAM). It may be noted that, in certain implementations, the integrated circuit 302 may include fewer elements (e.g., without the processor 304 and/or on-die memory 306) or additional elements other than the processor 304 and on-die memory 306. In one
implementation, integrated circuit 302 may include one or more micro light emitting diode (LED)
arrays 305 with or without the processor 304 and/or on-die memory 306. In another implementation, integrated circuit 302 may be a part of a micro LED-based display device with multiple micro LED arrays 305 and a thin film transistor (TFT) backplane, with or without the processor 304 and/or on-die memory 306. In another example, the integrated circuit 302 may include some or all the elements described herein, as well as include additional elements. It may be noted that in implementations, that some or all the elements (e.g., LED 305, processor 304, or memory 306) of integrated circuit 302 may include circuit structure 106, as described herein.
[0057] Computing device 300 may include other components that may or may not be physically and electrically coupled to the motherboard or fabricated within an SoC die. These other components include, but are not limited to, volatile memory 310 (e.g., DRAM), non-volatile memory 312 (e.g., ROM or flash memory), a graphics processing unit 314 (GPU), a digital signal processor 316, a crypto processor 342 (e.g., a specialized processor that executes cryptographic algorithms within hardware), a chipset 320, an antenna 322 (in some
implementations two or more antenna may be used), a display or a touchscreen display 324 (e.g., incorporating one more arrays of the micro LEDs disclosed herein), a touchscreen controller 326, a battery 330 or other power source, a power amplifier (not shown), a voltage regulator (not shown), a global positioning system (GPS) device 328 (which may further include a compass), a motion coprocessor or sensors 332 (that may include an accelerometer, a gyroscope and a compass), a microphone (not shown), a speaker 334, a camera 336, user input devices 338 (such as a keyboard, mouse, stylus and touchpad) and a mass storage device 340 (such as hard disk drive, compact disk (CD), digital versatile disk (DVD) and so forth). The computing device 300 may incorporate further transmission, telecommunication or radio functionality not already described herein. In some implementations, the computing device 300 includes a radio that is used to communicate over a distance by modulating and
radiating electromagnetic waves in air or space. In further implementations, the computing device 300 includes a transmitter and a receiver (or a transceiver) that is used to communicate over a distance by modulating and radiating electromagnetic waves in air or space.
[0058] The communications logic unit 308 enables wireless communications for the transfer of data to and from the computing device 300. The term "wireless" and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non-solid medium. The term does not imply that the associated devices do not contain any wires, although in some implementations they might not. The communications logic unit 308 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.1 1 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA,
DECT, Infrared (IR), Near Field Communication (NFC), Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G and beyond. The computing device 300 may include a plurality of communications logic units 308. A first communications logic unit 308 may be dedicated to shorter range wireless communications such as Wi-Fi, NFC and Bluetooth and a second communications logic unit 308 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO and others.
[0059] The processor 304 (also referred to "processing device" herein) of the computing device 300 includes one or more devices, such as transistors, RF filters, or LEDs, that are formed in accordance with implementations of the present disclosure. The term "processor" or
"processing device" may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory. Processor 304 represents one or more general- purpose processing devices such as a microprocessor, a central processing unit, or the like. More particularly, the processor 304 may be complex instruction set computing (CISC) microprocessor, reduced instruction set computing (RISC) microprocessor, very long instruction word (VLIW) microprocessor, or processor implementing other instruction sets, or processors implementing a combination of instruction sets. Processor 304 may also be one or more special-purpose processing devices such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), network processor, or the like.
[0060] The communications logic unit 308 may also include one or more devices, such as transistors, RF filters, or LEDs, that are formed in accordance with implementations of the present disclosure.
[0061] In further implementations, another component housed within the computing device 300 may contain one or more devices, such as transistors, RF filters, or LEDs, that are formed in accordance with implementations of the present disclosure.
[0062] In various implementations, the computing device 300 may be a laptop computer, a netbook computer, a notebook computer, an ultrabook computer, a smartphone, a dumbphone, a tablet, a tablet/laptop hybrid, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder. In further implementations, the computing device 300 may be any other electronic device that processes data.
[0063] The above description of illustrated implementations of the disclosure, including what is described in the Abstract, is not intended to be exhaustive or to limit the disclosure to the precise forms disclosed. While specific implementations of, and examples for, the disclosure are
described herein for illustrative purposes, various equivalent modifications are possible within the scope of the disclosure, as those skilled in the relevant art will recognize.
[0064] Various operations are described as multiple discrete operations, in turn, in a manner that is most helpful in understanding the present disclosure, however, the order of description should not be construed to imply that these operations are necessarily order dependent. In particular, these operations need not be performed in the order of presentation.
[0065] Implementations disclosed herein may be used in the manufacture of a wide variety of different types of integrated circuits and/or microelectronic devices. Examples of such integrated circuits include, but are not limited to, processors, chipset components, graphics processors, digital signal processors, micro-controllers and the like. In other implementations, semiconductor memory may be manufactured. Moreover, the integrated circuits or other microelectronic devices may be used in a wide variety of electronic devices known in the arts. For example, in computer systems (e.g., desktop, laptop, server), cellular phones, personal electronics, etc. The integrated circuits may be coupled with a bus and other components in the systems. A processor may be coupled by one or more buses to a memory, a chipset, etc. Each of the processor, the memory and the chipset, may potentially be manufactured using the approaches disclosed herein.
[0066] Any ranges cited herein are inclusive. The term "about" used throughout is used to describe and account for small fluctuations. The term "about" may mean the numeric value may be modified by ±5%, ±4%, ±3%, ±2%, ±1 %, ±0.5%, ±0.4%, ±0.3%, ±0.2%, ±0.1 % or ±0.05%. All numeric values are modified by the term "about" whether or not explicitly indicated. Numeric values modified by the term "about" include the identified value; that is "about 5.0" includes 5.0. Measureable levels of atoms, elements or molecules may depend on the method of detection. In part, the term "about" is intended to provide for this.
[0067] The terms "over," "above" "under," "between," and "on" as used herein refer to a relative position of one material layer or component with respect to other layers or components. For example, one layer disposed above or over or under another layer may be directly in contact with the other layer or may have one or more intervening layers. Moreover, one layer disposed between two layers may be directly in contact with the two layers or may have one or more intervening layers. In contrast, a first layer "on" a second layer is in direct contact with that second layer. Similarly, unless explicitly stated otherwise, one feature disposed between two features may be in direct contact with the adjacent features or may have one or more intervening layers.
[0068] The words "example" or "exemplary" are used herein to mean serving as an example, instance, or illustration. Any aspect or design described herein as "example' or "exemplary" is not necessarily to be construed as preferred or advantageous over other aspects or designs. Rather, use of the words "example" or "exemplary" is intended to present concepts in a concrete
fashion. As used in this application, the term "or" is intended to mean an inclusive "or" rather than an exclusive "or." That is, unless specified otherwise, or clear from context, "X includes A or B" is intended to mean any of the natural inclusive permutations. That is, if X includes A; X includes B; or X includes both A and B, then "X includes A or B" is satisfied under any of the foregoing instances. In addition, the articles "a" and "an" as used in this application and the appended claims may generally be construed to mean "one or more" unless specified otherwise or clear from context to be directed to a singular form. Moreover, use of the term "an
implementation" or "one implementation" or "an implementation" or "one implementation" throughout is not intended to mean the same implementation or implementation unless described as such. The terms "first," "second," "third," "fourth," etc. as used herein are meant as labels to distinguish among different elements and may not necessarily have an ordinal meaning according to their numerical designation.
[0069] Unless otherwise indicated, all parts and percentages are by weight.
[0070] Implementations of the disclosure may be formed or carried out on a substrate, such as a semiconductor substrate. In one implementation, the semiconductor substrate may be a crystalline substrate formed using a bulk silicon or a silicon-on-insulator substructure. In other implementations, the semiconductor substrate may be formed using alternate materials, which may or may not be combined with silicon, that include but are not limited to germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, indium gallium arsenide, gallium antimonide, or other combinations of group lll-V or group IV materials.
Although a few examples of materials from which the substrate may be formed are described here, any material that may serve as a foundation upon which a semiconductor device may be built falls within the spirit and scope of the present disclosure.
[0071] A plurality of transistors, such as metal-oxide-semiconductor field-effect transistors (MOSFET or simply MOS transistors), may be fabricated on the substrate. In various implementations of the disclosure, the MOS transistors may be planar transistors, nonplanar transistors, or a combination of both. Nonplanar transistors include FinFET transistors such as double-gate transistors and tri-gate transistors, and wrap-around or all-around gate transistors such as nanoribbon and nanowire transistors. Although the implementations described herein may illustrate only planar transistors, it should be noted that the disclosure may also be carried out using nonplanar transistors.
[0072] Each MOS transistor includes a gate stack formed of at least two layers, a gate dielectric layer and a gate electrode layer. The gate dielectric layer may include one layer or a stack of layers. The one or more layers may include silicon oxide, silicon dioxide (Si02) and/or a high-k dielectric material. The high-k dielectric material may include elements such as hafnium, silicon, oxygen, titanium, tantalum, lanthanum, aluminum, zirconium, barium, strontium, yttrium, lead, scandium, niobium, and zinc. Examples of high-k materials that may be used in the gate
dielectric layer include, but are not limited to, hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate. In some implementations, an annealing process may be carried out on the gate dielectric layer to improve its quality when a high-k material is used.
[0073] The gate electrode layer is formed on the gate dielectric layer and may consist of at least one P-type workfunction metal or N-type workfunction metal, depending on whether the transistor is to be a PMOS or an NMOS transistor. In some implementations, the gate electrode layer may consist of a stack of two or more metal layers, where one or more metal layers are workfunction metal layers and at least one metal layer is a fill metal layer. Further metal layers may be included for other purposes, such as a barrier layer.
[0074] For a PMOS transistor, metals that may be used for the gate electrode include, but are not limited to, ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides, e.g., ruthenium oxide. A P-type metal layer will enable the formation of a PMOS gate electrode with a workfunction that is between about 4.9 eV and about 5.2 eV. For an NMOS transistor, metals that may be used for the gate electrode include, but are not limited to, hafnium, zirconium, titanium, tantalum, aluminum, alloys of these metals, and carbides of these metals such as hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, and aluminum carbide. An N-type metal layer will enable the formation of an NMOS gate electrode with a workfunction that is between about 3.9 eV and about 4.2 eV.
[0075] In some implementations, when viewed as a cross-section of the transistor along the source-channel-drain direction, the gate electrode may consist of a "U"-shaped structure that includes a bottom portion substantially parallel to the surface of the substrate and two sidewall portions that are substantially perpendicular to the top surface of the substrate. In another implementation, at least one of the metal layers that form the gate electrode may simply be a planar layer that is substantially parallel to the top surface of the substrate and does not include sidewall portions substantially perpendicular to the top surface of the substrate. In further implementations of the disclosure, the gate electrode may consist of a combination of U-shaped structures and planar, non-U-shaped structures. For example, the gate electrode may consist of one or more U-shaped metal layers formed atop one or more planar, non-U-shaped layers.
[0076] In some implementations of the disclosure, a pair of sidewall spacers may be formed on opposing sides of the gate stack that bracket the gate stack. The sidewall spacers may be formed from a material such as silicon nitride, silicon oxide, silicon carbide, silicon nitride doped with carbon, and silicon oxynitride. Processes for forming sidewall spacers are well known in the art and generally include deposition and etching process operations. In an alternate
implementation, a plurality of spacer pairs may be used, for instance, two pairs, three pairs, or four pairs of sidewall spacers may be formed on opposing sides of the gate stack.
[0077] In implementations, source and drain regions are formed within the substrate adjacent to the gate stack of each MOS transistor. The source and drain regions may be formed using either an implantation/diffusion process or an etching/deposition process. In the former process, dopants such as boron, aluminum, antimony, phosphorous, or arsenic may be ion- implanted into the substrate to form the source and drain regions. An annealing process that activates the dopants and causes them to diffuse further into the substrate typically follows the ion implantation process. In the latter process, the substrate may first be etched to form recesses at the locations of the source and drain regions. An epitaxial deposition process may then be carried out to fill the recesses with material that is used to fabricate the source and drain regions. In some implementations, the source and drain regions may be fabricated using a silicon alloy such as silicon germanium or silicon carbide. In some implementations, the epitaxially deposited silicon alloy may be doped in situ with dopants such as boron, arsenic, or phosphorous. In further implementations, the source and drain regions may be formed using one or more alternate semiconductor materials such as germanium or a group lll-V material or alloy. In further implementations, one or more layers of metal and/or metal alloys may be used to form the source and drain regions.
[0078] In other implementations, one or more interlayer dielectrics (ILD) are deposited over the MOS transistors. The ILD layers may be formed using dielectric materials known for their applicability in integrated circuit structures, such as low-k dielectric materials. Examples of dielectric materials that may be used include, but are not limited to, silicon dioxide (Si02), carbon doped oxide (CDO), silicon nitride, organic polymers such as perfluorocyclobutane or polytetrafluoroethylene, fluorosilicate glass (FSG), and organosilicates such as silsesquioxane, siloxane, or organosilicate glass. The ILD layers may include pores or air gaps to further reduce their dielectric constant.
Examples
[0079] Example 1 Preparation of Ru(t-butyl-N-pentadienyl)2
[0080] A 3-4 g mixture of MgS04 and 3-methylcrotonaldehyde (1 eq.; -10 g) in 200 mL dry methylene chloride is cooled to 0°C under a N2 atmosphere. To the flask, t-butylamine (1 .1 eq.) is added dropwise over 20 minutes using a syringe. The reaction is left in the ice bath and allowed to slowly warm up to room temperature overnight (16 h). The reaction mixture is filtered through a frit in a glovebox and the solvent and all volatiles are removed under vacuum (4 h). The ligand is not volatile under vacuum at room temperature. The product is collected as a viscous yellow oil and stored over molecular sieves (4 A) overnight; yield of t- butylazapentadiene is 95%.
[0081] 1 H NMR (400 MHz, 293 K, CD2CI2): δ 8.20 (d, 1 H, 3JHH = 8.2 Hz, N=CH); 6.27 (d, 1 H, 3JHH = 8.2 Hz, C=CH), 1 .59 (s, 3H, CH3); 1 .53 (s, 3H, CH3); 1 .22 (s, 9H, t-bu).
[0082] A 2-3 g sample of t-butylazapentadiene is dissolved in THF (150 mL) and cooled to minus 78°C under N2. In a separate Schlenk flask, potassium bis(trimethylsilyl)amide (KHMDS) is dissolved in THF (150 mL) is stirred at room temperature and transferred dropwise via cannula (over 20 min) to the cold t-butylazapentadiene flask. The reaction mixture gradually becomes orange and a precipitate is observed. After complete addition of KHMDS, the reaction mixture is allowed to stir at minus 78°C for 2 h. The cold bath is then removed and the flask is allowed to warm up slowly to room temperature and stir for another 2 h upon which all precipitate goes into solution. The solution color becomes red. The solvent is removed under vacuum and the crude solid is washed with hexane giving a dark yellow-orange solid; yield 65%.
[0083] 1 H NMR (400 MHz, 293 K, THF-d8): δ 7.74 (d, 1 H, JHH = 12.3 Hz, N=CH); 4.84 (d, 1 , JHH = 12.3 Hz, C=CH); 3.95 (br s, 1 H, =CH2); 3.56 (br s, 1 H, =CH2); 1 .96 (s, 3H, CH3), 1 .29 (s, 9H, t- bu).
[0084] Two equivalents of the deprotonated ligand (2.2 eq.; 2 - 3 g) are dissolved in THF (150 mL) and stirred at room temperature on a Schlenk line. In a separate flask, mixture of dichloro(1 ,5-cyclooctadiene)ruthenium(ll), polymer (Ru(COD)CI2 polymer) in THF (100 mL) is cooled to -78°C under N2. A THF solution of the deprotonated ligand is transferred dropwise over 20 minutes via cannula to the Ru flask. The reaction is left in the -78^ cold bath and allowed to warm up to room temperature overnight without removing the cold bath. The solvent is removed to provide a brown oil. The product is extracted with hexane and filtered giving a pale yellow solid Ru(COD)(t-butyl-A/-pentadienyl)2; yield 54%.
[0085] 1 H NMR (400 MHz, 293 K, C6D6): 5 7.83 (d, 1 H, 3JHH = 9.10 Hz, N=CH); 3.99 (d, 1 H, 3JHh = 9.10 Hz, C=CH); 3.64 (m, 1 H, COD), 3.35 (m, 1 H, COD), 2.50 (m, 1 H, COD), 2.01 (m, 1 H, COD), 1 .74 (s, 1 H, =CH2), 1 .66 (s, 3H, CH3), 1 .34 (s, 9H, t-bu), 0.27 (s, 1 H, =CH2).
[0086] The pale yellow Ru(COD)(t-butyl-N-pentadienyl)2 solid is loaded into a sublimator and heated at 70 °C under dynamic vacuum for 16 h. An orange solid is collected on the cold finger and characterized as Ru(t-butyl-A/-pentadienyl)2. A mixture of isomers is collected; yield = 52%.
[0087] 1 H NMR is reported for major isomer of the complex: (400 MHz, 293 K, C6D6): δ 6.21 (d, 1 H, JHH = 3.81 Hz, N=CH); 2.99 (br s, 1 H, C=CH2); 2.84 (br s, 1 H, C=CH); 2.19 (br s, 1 H, C=CH2); 1 .57 (s, 3H, CH3); 1 .37 (s, 9H, t-bu).
[0088] 13C{1 H} NMR (100 MHz, 293 K, C6D6): δ 1 19.4 (N=CH); 102.2 (C=CH); 69.3 (C=CH); 55.8 (t-bu); 50.5 (C=CH2); 31 .3 (t-bu), 25.9 (CH3).
[0089] Example 2 Chemical Vapor Deposition
The Ru(t-butyl-N-pentadienyl)2 complex prepared according to Example 1 is placed in a stainless steel ampoule and heated at 200 °C using a reactive carrier gas facilitating vaporization of the metal complex and combustion of the ligand. Ruthenium is deposited on patterned substrates consisting of metal and ILD at growth rate of greater than or equal to 90nm/h, yielding 95% and higher pure Ru metal films on the metal surface with deposition selectivity of 40:1 on the metal vs. ILD surface.
Claims
1. An integrated circuit comprising:
a dielectric material;
an interconnect disposed within the dielectric material; and
a via over the interconnect, wherein
the via comprises a metal M and one or more heteroatoms, wherein
the metal M is a first row late transition metal or a platinum group metal, and wherein the one or more heteroatoms are at least one of nitrogen (N), oxygen (O), phosphorous (P) or sulfur
(S) atoms.
2. The integrated circuit of claim 1 , wherein the interconnect comprises copper (Cu), nickel (Ni), cobalt (Co), manganese (Mn), ruthenium (Ru), molybdenum (Mb) or tungsten (W).
3. The integrated circuit of claim 1 , wherein the via comprises one or more heteroatoms comprising at least one of N, P or S.
4. The integrated circuit of claim 1 , wherein the metal M is manganese (Mn), iron (Fe), cobalt (Co), nickel (Ni), copper (Cu), ruthenium (Ru), rhodium (Rh), palladium (Pd), osmium (Os), iridium (Ir) or platinum (Pt).
5. The integrated circuit of claim 1 , wherein the via comprises greater than or equal to 90 weight percent metal M.
6. The integrated circuit of any of claims 1 to 5, wherein the via comprises from about 0.3 to about 9.9 weight percent of the one or more heteroatoms.
7. The integrated circuit of any of claims 1 to 5, wherein the via comprises from about 0.01 to about 9.7 weight percent carbon.
8. The integrated circuit of any of claims 1 to 5, wherein the via comprises the metal M, the one or more heteroatoms and carbon.
9. The integrated circuit of any of claims 1 to 5, wherein the dielectric material comprises silicon dioxide or a low-k dielectric material.
10. The integrated circuit of any of claims 1 to 5, wherein the weight ratio of the metal M over the interconnect to that of the metal M over the dielectric material is greater than or equal to 20.
11. The integrated circuit of any of claims 1 to 5, wherein the weight ratio of the metal M over the interconnect to that of the metal M over the dielectric material is greater than or equal to 30.
12. The integrated circuit of any of claims 1 to 5, wherein the metal M is Ru.
13. The integrated circuit of any of claims 1 to 5, wherein the metal M is Ru and the heteroatom is N.
14. The integrated circuit of any of claims 1 to 5, wherein the via is from about 1 nm to about 150 nm thick.
15. A method of depositing a metal M on a substrate surface comprising:
providing a substrate surface; and
depositing the metal M from a hetero-pentadienyl metal complex of formula I:
(L)n wherein
L is a ligand;
n is 1 , 2 or 3;
X is NR1 , S(0)(0), S(0)(R1)(R1), S(R1)(R1), P(0)(R1), P(R1)(R1)(R1) or O;
each R independently is hydrogen or a straight or branched chain C C6 alkyl;
each R1 independently is hydrogen or a straight or branched chain C C6 alkyl; and
M is a first row late transition metal or a platinum group metal; to form a layer comprising metal M on the substrate surface.
16. The method of claim 15, wherein the substrate surface comprises a metal region and a non-metal region and wherein the metal M is selectively deposited on the metal region rather than the non-metal region by using the hetero-pentadienyl metal complex, such that the weight ratio of the metal M deposited on the metal region to that of the metal M deposited on the non- metal region is greater than or equal to 20.
17. The method of claim 16, wherein the non-metal region comprises silicon dioxide or a low-k dielectric material.
18. The method of any of claims 15 to 17, wherein the substrate surface comprises copper, nickel, cobalt, manganese, ruthenium, molybdenum or tungsten.
19. The method of any of claims 15 to 17, wherein depositing the metal M comprises chemical vapor deposition or atomic layer deposition.
20. The method of any of claims 15 to 17, wherein the deposition is performed at a temperature of from about 150°C to about 500 °C.
21. The method of any of claims 15 to 17, wherein the deposition is performed using a hydrogen, ammonia, hydrazine or oxygen co-reactant.
22. The method of any of claims 15 to 17, wherein the substrate surface is not pre-treated prior to depositing the metal M.
23. A hetero-pentadienyl metal complex of formula I:
(L) n wherein
L is a ligand;
n is 1 , 2 or 3;
X is NR1 , S(0)(0), S(0)(R1)(R1), S(R1)(R1), P(0)(R1), P(R1)(R1)(R1) or O;
each R independently is hydrogen or a straight or branched chain C C6 alkyl;
each R1 independently is hydrogen or a straight or branched chain C C6 alkyl; and
M is a first row late transition metal or platinum group metal.
24. The hetero-pentadienyl metal complex of claim 23, wherein M is Mn, Fe, Co, Ni, Cu, Ru, Rh, Pd, Os, Ir or Pt.
25. The hetero-pentadienyl metal complex of claims 23 or 24, wherein M is Ru and X is NR1.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
PCT/US2016/068724 WO2018125052A1 (en) | 2016-12-27 | 2016-12-27 | Selective area deposition of metal layers from hetero-pentadienyl metal complex precursors |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
PCT/US2016/068724 WO2018125052A1 (en) | 2016-12-27 | 2016-12-27 | Selective area deposition of metal layers from hetero-pentadienyl metal complex precursors |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2018125052A1 true WO2018125052A1 (en) | 2018-07-05 |
Family
ID=62710627
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2016/068724 WO2018125052A1 (en) | 2016-12-27 | 2016-12-27 | Selective area deposition of metal layers from hetero-pentadienyl metal complex precursors |
Country Status (1)
Country | Link |
---|---|
WO (1) | WO2018125052A1 (en) |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2000091269A (en) * | 1998-09-10 | 2000-03-31 | Fujitsu Ltd | Method for manufacturing semiconductor device |
JP2007227958A (en) * | 2002-05-08 | 2007-09-06 | Nec Electronics Corp | Semiconductor device |
US20080032503A1 (en) * | 2006-08-02 | 2008-02-07 | Thompson David M | High nucleation density organometallic compounds |
US20090065939A1 (en) * | 2007-09-11 | 2009-03-12 | Tokyo Electron Limited | Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device |
US20150303063A1 (en) * | 2012-12-07 | 2015-10-22 | Tosoh Corporation | Ruthenium complex, method for producing same, and method for producing ruthenium-containing thin film |
-
2016
- 2016-12-27 WO PCT/US2016/068724 patent/WO2018125052A1/en active Application Filing
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2000091269A (en) * | 1998-09-10 | 2000-03-31 | Fujitsu Ltd | Method for manufacturing semiconductor device |
JP2007227958A (en) * | 2002-05-08 | 2007-09-06 | Nec Electronics Corp | Semiconductor device |
US20080032503A1 (en) * | 2006-08-02 | 2008-02-07 | Thompson David M | High nucleation density organometallic compounds |
US20090065939A1 (en) * | 2007-09-11 | 2009-03-12 | Tokyo Electron Limited | Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device |
US20150303063A1 (en) * | 2012-12-07 | 2015-10-22 | Tosoh Corporation | Ruthenium complex, method for producing same, and method for producing ruthenium-containing thin film |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10756215B2 (en) | Selective deposition utilizing sacrificial blocking layers for semiconductor devices | |
US10464959B2 (en) | Inherently selective precursors for deposition of second or third row transition metal thin films | |
US8952355B2 (en) | Electropositive metal containing layers for semiconductor applications | |
US12288808B2 (en) | High aspect ratio source or drain structures with abrupt dopant profile | |
US11552169B2 (en) | Source or drain structures with phosphorous and arsenic co-dopants | |
US11411096B2 (en) | Source electrode and drain electrode protection for nanowire transistors | |
TWI765867B (en) | Forming interconnects with self-assembled monolayers | |
US12027585B2 (en) | Source or drain structures with low resistivity | |
US11955482B2 (en) | Source or drain structures with high phosphorous dopant concentration | |
WO2018125052A1 (en) | Selective area deposition of metal layers from hetero-pentadienyl metal complex precursors | |
US11270887B2 (en) | Passivation layer for germanium substrate | |
US20210408275A1 (en) | Source or drain structures with high surface germanium concentration | |
US11335796B2 (en) | Source to channel junction for III-V metal-oxide-semiconductor field effect transistors (MOSFETs) | |
US11227798B2 (en) | Metal aluminum gallium indium carbide thin films as liners and barriers for interconnects | |
US20240006415A1 (en) | Device, method and system to provide an interconnect between channel structures | |
US20230317794A1 (en) | Ultra-scaled transistor devices to enable cell size scaling | |
US20240332394A1 (en) | Fabrication of gate-all-around integrated circuit structures having multi-layer molybdenum metal gate stack | |
WO2018118086A1 (en) | Gallium-based co-reactants for fabricating metal silicide and metal germanide films | |
WO2018125160A1 (en) | New ald lanthanide precursors | |
WO2018009158A1 (en) | Conformal films including metal gallium carbide and metal indium carbide for device applications and their fabrication | |
WO2018125064A1 (en) | Deeply scaled metal interconnects with high aspect ratio | |
WO2018125201A1 (en) | Synthesis of polycarbosilanes and derivitization to high density sic fill material |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 16925135 Country of ref document: EP Kind code of ref document: A1 |
|
NENP | Non-entry into the national phase |
Ref country code: DE |
|
122 | Ep: pct application non-entry in european phase |
Ref document number: 16925135 Country of ref document: EP Kind code of ref document: A1 |