US20090075479A1 - Method of manufacturing semiconductor device - Google Patents
Method of manufacturing semiconductor device Download PDFInfo
- Publication number
- US20090075479A1 US20090075479A1 US12/265,763 US26576308A US2009075479A1 US 20090075479 A1 US20090075479 A1 US 20090075479A1 US 26576308 A US26576308 A US 26576308A US 2009075479 A1 US2009075479 A1 US 2009075479A1
- Authority
- US
- United States
- Prior art keywords
- copper
- wiring
- insulating film
- forming
- film
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
- 239000004065 semiconductor Substances 0.000 title claims description 55
- 238000004519 manufacturing process Methods 0.000 title claims description 23
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims abstract description 139
- 239000010949 copper Substances 0.000 claims abstract description 139
- 229910052802 copper Inorganic materials 0.000 claims abstract description 137
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 40
- 239000011737 fluorine Substances 0.000 claims abstract description 40
- 239000000758 substrate Substances 0.000 claims abstract description 30
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims abstract 5
- 239000010410 layer Substances 0.000 claims description 120
- 239000007789 gas Substances 0.000 claims description 62
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 57
- 238000005530 etching Methods 0.000 claims description 54
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 43
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 43
- 230000004888 barrier function Effects 0.000 claims description 41
- 229910052751 metal Inorganic materials 0.000 claims description 41
- 239000002184 metal Substances 0.000 claims description 41
- 238000000034 method Methods 0.000 claims description 35
- 239000011229 interlayer Substances 0.000 claims description 32
- 229910052786 argon Inorganic materials 0.000 claims description 31
- 239000001301 oxygen Substances 0.000 claims description 27
- 229910052760 oxygen Inorganic materials 0.000 claims description 27
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 20
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 18
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 claims description 17
- 238000005229 chemical vapour deposition Methods 0.000 claims description 11
- 239000001257 hydrogen Substances 0.000 claims description 11
- 229910052739 hydrogen Inorganic materials 0.000 claims description 11
- 238000004544 sputter deposition Methods 0.000 claims description 10
- 229910052757 nitrogen Inorganic materials 0.000 claims description 9
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims description 7
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 6
- 238000007747 plating Methods 0.000 claims description 5
- 229920000642 polymer Polymers 0.000 claims description 4
- 150000002431 hydrogen Chemical class 0.000 claims description 3
- 238000005498 polishing Methods 0.000 claims description 3
- 239000000203 mixture Substances 0.000 claims 7
- 238000000151 deposition Methods 0.000 claims 5
- 239000012212 insulator Substances 0.000 claims 3
- 238000011109 contamination Methods 0.000 claims 2
- 230000015572 biosynthetic process Effects 0.000 abstract description 22
- 238000009832 plasma treatment Methods 0.000 abstract description 16
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 35
- 238000004140 cleaning Methods 0.000 description 18
- -1 argon ions Chemical class 0.000 description 16
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 13
- 229910052814 silicon oxide Inorganic materials 0.000 description 13
- 238000007254 oxidation reaction Methods 0.000 description 12
- 238000006243 chemical reaction Methods 0.000 description 11
- 229920006254 polymer film Polymers 0.000 description 11
- 150000002500 ions Chemical class 0.000 description 10
- 239000000356 contaminant Substances 0.000 description 9
- 230000003647 oxidation Effects 0.000 description 9
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 7
- 229910001882 dioxygen Inorganic materials 0.000 description 7
- ARUVKPQLZAKDPS-UHFFFAOYSA-L copper(II) sulfate Chemical compound [Cu+2].[O-][S+2]([O-])([O-])[O-] ARUVKPQLZAKDPS-UHFFFAOYSA-L 0.000 description 6
- 238000010168 coupling process Methods 0.000 description 6
- 125000001153 fluoro group Chemical group F* 0.000 description 6
- 230000008878 coupling Effects 0.000 description 5
- 238000005859 coupling reaction Methods 0.000 description 5
- 230000001939 inductive effect Effects 0.000 description 5
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 5
- 238000001020 plasma etching Methods 0.000 description 4
- ZVJOQYFQSQJDDX-UHFFFAOYSA-N 1,1,2,3,3,4,4,4-octafluorobut-1-ene Chemical compound FC(F)=C(F)C(F)(F)C(F)(F)F ZVJOQYFQSQJDDX-UHFFFAOYSA-N 0.000 description 3
- LGPPATCNSOSOQH-UHFFFAOYSA-N 1,1,2,3,4,4-hexafluorobuta-1,3-diene Chemical compound FC(F)=C(F)C(F)=C(F)F LGPPATCNSOSOQH-UHFFFAOYSA-N 0.000 description 3
- 229910000365 copper sulfate Inorganic materials 0.000 description 3
- 229910000366 copper(II) sulfate Inorganic materials 0.000 description 3
- 238000005260 corrosion Methods 0.000 description 3
- 230000007797 corrosion Effects 0.000 description 3
- 239000008151 electrolyte solution Substances 0.000 description 3
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 238000011282 treatment Methods 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- BLOIXGFLXPCOGW-UHFFFAOYSA-N [Ti].[Sn] Chemical compound [Ti].[Sn] BLOIXGFLXPCOGW-UHFFFAOYSA-N 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 239000000463 material Substances 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/10—Details of semiconductor or other solid state devices to be connected
- H01L2924/11—Device type
- H01L2924/12—Passive devices, e.g. 2 terminal devices
- H01L2924/1204—Optical Diode
- H01L2924/12044—OLED
Definitions
- This invention relates to a method of manufacturing a semiconductor device, and more particularly, to a method of manufacturing a semiconductor device having a copper wiring.
- Copper has been employed as a wiring material in semiconductor devices. Copper is advantageous in that it is lower in resistance than aluminum (Al), with its permissible current in reliability being greater by two or more orders of magnitude than Al. Accordingly, the comparison between copper and aluminum leads to the fact that when using copper in order to obtain the same level of wiring resistance, the film thickness can be made smaller, with the possibility that the capacitance between wiring is reduced.
- a first trench is formed in a first silicon oxide film.
- a barrier metal film for preventing copper from being diffused toward the inner walls of the first trench copper is buried in the first trench to form a first wiring layer.
- a silicon nitride (Si 3 N 4 ) film is formed on the first silicon oxide film so as to cover the first wiring layer therewith.
- a second silicon oxide film is formed on the silicon nitride film.
- the second silicon oxide film and the silicon nitride film are, respectively, etched to form a via hole and a second trench.
- the via hole and the second trench are, respectively, formed with a barrier metal on the inner surfaces thereof, and copper is buried in the via hole and the second trench, thereby forming a via plug and a second wiring layer.
- the first wiring layer and the second wiring layer are electrically connected with each other through the via plug to form copper wiring having a multi-layered wiring structure.
- the second silicon oxide film is etched to reach the surface of the silicon nitride film. Then, the silicon nitride film is etched with a mixed gas of tetrafluoromethane (CF 4 ) and oxygen (O 2 ), or a mixed gas of trifluoromethane (CHF 3 ) and oxygen as an etching gas. In this way, copper for forming the first wiring layer is exposed to at the bottom of the via hole.
- CF 4 tetrafluoromethane
- oxygen O 2
- CHF 3 trifluoromethane
- a fluorine-based deposit derived from the etching gas for the silicon nitride film is left on the copper surface immediately after the etching, with the attendant problem that the reaction between the fluorine and the copper enables a fluorine-containing polymer film to be formed on the copper surface.
- the formation of such a polymer film creates such a state that a native oxide film on the copper surface is undesirably broken. In this condition, if the semiconductor substrate is removed to outside of the etching chamber, the copper reacts with moisture in air thereby causing the copper to be corroded.
- the invention has been accomplished. It is therefore an object of the invention to provide a method for manufacturing a semiconductor device wherein a contaminant containing a fluorine-containing polymer is removed from an exposed copper surface to provide such a state that a native oxide film is formed on the copper surface, thereby suppressing the copper from being corroded.
- a substrate having a copper wiring is prepared.
- An insulating film is formed on the copper wiring.
- the insulating film is etched with a gas containing fluorine to form an opening reaching the copper wiring.
- a plasma treatment is carried out on a surface of copper exposed at a bottom of the opening without turning plasma discharge off after forming the opening in the same chamber as the formation of the opening.
- a substrate having a copper wiring is prepared.
- An insulating film is formed on the copper wiring.
- the insulating film is etched with a gas containing fluorine to form an opening reaching the copper wiring.
- a plasma treatment is carried out on a surface of copper exposed at a bottom of the opening. The formation of the opening and the plasma treatment are carried out in the same chamber. After forming the opening, the plasma discharge is once stopped and the chamber is evacuated, and thereafter, the plasma treatment is carried out.
- a first interlayer insulating film is formed on a semiconductor substrate.
- a trench is formed in the first interlayer insulating film.
- a first barrier metal layer is formed inside the trench.
- a first copper layer is buried through the first barrier metal layer in the groove to form a first wiring layer.
- a silicon nitride film is formed on the first interlayer insulating film and the first wiring layer.
- a second interlayer insulating film is formed on the silicon nitride film. The second interlayer insulating film is etched to expose part of the silicon nitride film.
- the exposed silicon nitride film is etched with a gas containing fluorine to form a via hole exposing the first copper layer.
- a plasma treatment is carried out on the exposed first copper layer to remove a contaminant containing a polymer containing fluorine.
- a second barrier metal film is formed inside the via hole.
- a second copper layer is buried through the second barrier metal film in the via hole to form a via plug.
- FIG. 1 is a partial sectional view of a semiconductor device according to the present invention.
- FIG. 2A shows a method of manufacturing a semiconductor device according to the present invention.
- FIG. 2B shows a method of manufacturing a semiconductor device according to the present invention.
- FIG. 3 shows a method of manufacturing a semiconductor device according to the present invention.
- a method of manufacturing a semiconductor device is characterized by comprising the steps of forming an insulating film on copper wiring, etching the insulating film by use of a fluorine-containing gas to form an opening reaching the copper wiring, and subjecting, after the step of forming the opening, the surface of copper exposed at a bottom of the opening to plasma treatment continuously in the same chamber without stopping plasma discharge.
- FIG. 1 is a partial sectional view illustrating a wiring structure of a semiconductor device according to this embodiment.
- the semiconductor device of the embodiment has a multi-layered wiring structure.
- a first interlayer insulating layer 1 such as a silicon oxide (SiO 2 ) film or the like, formed on a semiconductor substrate (not shown) is formed with a first trench 2 for burying of wiring therein.
- a first barrier metal film 3 for preventing copper (Cu) from being diffused is formed on the inner surfaces (i.e. inner walls and a bottom surface herein and whenever they appear hereinafter) of the first trench 2 .
- the first barrier metal film 3 used may be made, for example, of a titanium (TiN) film, a tantalum nitride (TaN) film or the like.
- a first copper layer 4 is buried in the first trench 2 in which the first barrier metal film 3 has been formed, thereby forming a first wiring layer 5 .
- a second interlayer insulating film 7 is formed on the first interlayer insulating film 1 and the first wiring layer 5 via a silicon nitride (Si 3 N 4 ) film 6 serving as an insulating film.
- a silicon oxide film may be used for the second interlayer insulating film 7 .
- a via hole 8 and a second trench 9 are formed in the second interlayer insulating film 7 over the first wiring layer 5 , and a second barrier metal film 10 is formed on the inner surfaces thereof in order to prevent diffusion of copper.
- a titanium nitride film or tantalum nitride film may be used for the second barrier metal film 10 .
- a second copper layer 11 is buried in the via hole 8 and the second trench 9 , in which the second barrier metal film 10 has been formed, thereby forming a via plug 15 and a second wiring layer 12 .
- the first wiring layer 5 and the second wiring layer 12 are electrically connected with each other through the via plug 15 .
- FIGS. 2A to 2E and FIG. 3 like reference numerals indicate like portions or members as in FIG. 1 .
- the first trench 2 is formed by etching the first interlayer insulating film 1 formed on a semiconductor substrate (not shown), and the first barrier metal film 3 is formed on the inner surfaces of the first trench 2 . Thereafter, the first copper layer 4 is buried in the first trench 2 to form the first wiring layer 5 ( FIG. 2A ).
- the procedure of forming the first wiring layer includes the steps of forming the first interlayer insulating film, etching the first interlayer insulating film to form the first trench, forming the first barrier metal film on the inner surfaces of the first trench, and forming the first copper layer inside the first trench through the first barrier metal.
- the first trench can be formed by anisotropic plasma etching with an etching gas such as, a mixed gas of hexafluorobutadiene (C 4 F 6 ), oxygen (O 2 ) and argon (Ar), or a mixed gas of as octafluorobutene (C 4 F 8 ) and argon.
- an etching gas such as, a mixed gas of hexafluorobutadiene (C 4 F 6 ), oxygen (O 2 ) and argon (Ar), or a mixed gas of as octafluorobutene (C 4 F 8 ) and argon.
- the formation of the first barrier metal film and the burying of the first copper layer can be performed in the following way. Initially, a barrier metal film such as a titanium nitride film or tantalum nitride film is formed by a chemical vapor deposition method (hereinafter referred to as CVD method) or a sputtering method, followed by formation of a copper layer. Subsequently, the copper layer and the barrier metal film are polished according to a chemical mechanical polishing (hereinafter referred to as CMP) method. In this way, the copper layer and the barrier metal film are left only inside the first trench.
- CVD method chemical vapor deposition method
- CMP chemical mechanical polishing
- the formation of the first barrier metal film and the burying of the first copper layer may be performed by other methods, respectively.
- the copper layer may be buried in the inside of the first trench by a plating method using an electrolytic solution based on copper sulfate (CuSO 4 ).
- a silicon nitride film 6 is formed over the first interlayer insulating film 1 and the first wiring layer 5 .
- the silicon nitride film 6 may be formed, for example, by a CVD or sputtering method.
- the second interlayer insulating film 7 is formed on the silicon nitride film 6 .
- a silicon oxide film may be formed by a CVD or sputtering method for use as the second interlayer insulating film.
- the via hole and the second trench e for second wiring layer are, respectively, formed.
- the second interlayer insulating film is anisotropically etched. More specifically, using a resist pattern (not shown) formed on the second interlayer insulating film 7 as a mask, the second interlayer insulating film 7 is etched to an extent of reaching the silicon nitride film 6 . In other words, this etching enables part of the surface of the silicon nitride film 6 to be exposed.
- an etching gas there can be used, for example, a mixed gas of hexafluorobutadiene (C 4 F 6 ), oxygen (O 2 ) and argon (Ar), or a mixed gas of octafluorobutene (C 4 F 8 ) and argon.
- the exposed silicon nitride film 6 is etched to cause the first copper layer 4 of the first wiring layer 5 to be exposed.
- the via hole 8 and the second trench 9 can be made as is particularly shown in FIG. 2D .
- the silicon nitride film 6 may be etched by anisotropic plasma etching using a fluorine-containing gas.
- the fluorine-containing gas include gases containing tetrafluoromethane or trifluoromethane. Specific examples include a mixed gas of tetralfuoromethane and oxygen, a mixed gas of tetralfuoromethane, oxygen and argon, a mixed gas of trifluoromethane and oxygen, and a mixed gas of trifluoromethane, oxygen and argon.
- the cleaning step of removing a contaminant formed on the exposed surface of the first copper layer is carried out.
- contaminant used herein means a fluorine-containing polymer film formed by reaction between fluorine and copper, or a fluorine-containing polymer film deposited on the copper.
- the semiconductor substrate, particularly, the exposed surface of the first copper layer is not in contact with air. More specifically, the etching and cleaning steps of the silicon nitride should preferably be carried out in the same chamber.
- Examples of the etching systems usable in the etching and cleaning steps of the silicon nitride film include a parallel plate etching system, an electron cyclone etching system, and an inductive coupling etching system.
- the cleaning step can be particularly carried out by subjecting the surface of the first copper layer to plasma treatment.
- a gas for plasma treatment is introduced into the chamber.
- argon, oxygen, hydrogen (H 2 ), nitrogen (N 2 ), a mixed gas of hydrogen and nitrogen, a mixed gas of oxygen and argon, a mixed gas of nitrogen and argon, or a mixed gas of hydrogen and argon may be introduced into the chamber.
- the above-mentioned gas is converted into a plasma subsequent to the conversion, into a plasma, of the etching gas used in the etching step of the silicon nitride film. More specifically, the plasma discharge that has been continuedly carried out in the etching step is not stopped, followed by the cleaning step.
- the conversion into plasma may be carried out by any of arbitrary methods, which include a method using irradiation with a microwave, and a method based on inductive coupling or capacitive coupling by use of high frequency
- RF bias power is applied between facing electrodes placed in the etching system. More specifically, bias power is applied between an electrode supporting the semiconductor substrate and a counter electrode that is in face-to-face relation with the first-mentioned electrode through the semiconductor substrate.
- bias power is applied between an electrode supporting the semiconductor substrate and a counter electrode that is in face-to-face relation with the first-mentioned electrode through the semiconductor substrate.
- the ions 13 are allowed to collide with the surface (i.e. copper and fluorine atoms) of the first copper layer 4 as shown in FIG. 3 .
- the ions 13 generated in the plasma has high energy, so that the collision and reaction with the copper atoms and fluorine atoms existing in the surface of the first copper layer 4 enables a polymer film 14 to be removed.
- An etching system which has a pair of facing electrodes composed of an upper electrode and a lower electrode and the lower electrode serves as a holder for semiconductor substrate, is used.
- the etching system may be of any type including a parallel plate type, an electron cyclone type or an inductive coupling type.
- the semiconductor substrate is placed on the lower electrode in such a way that the exposed surface of the first copper layer is turned toward the side of the upper electrode.
- a mixed gas of argon and oxygen is introduced into the chamber, with its pressure being kept, for example, at 50 mTorr.
- the flow rate of the introduced gas is, for example, at 400 sccm for the argon gas and 20 sccm for oxygen gas. Power of 1,400 W is applied to the upper electrode, and power of 1,000 W is applied to the lower electrode, under which argon and oxygen ions generated in the plasma are allowed to collide with the surface of the first copper layer.
- the surface temperature of the electrode serving as a holder supporting the semiconductor substrate is set at 25° C. or below. This can suppress the positive oxidation reaction of copper from occurring as would otherwise take place in the case where the oxygen gas is introduced into the chamber.
- the surface temperature of the lower electrode can be set, for example, at 20° C.
- a fluorine-containing polymer film can be removed from the surface of the first copper layer.
- the fluorine atoms attached to the surface of the first copper layer can also be removed, so that the formation of a fresh polymer film can be prevented.
- a native oxide film can be formed uniformly over the surface of the first copper layer, under which if the semiconductor substrate is removed to the outside of the chamber, the copper is not corroded by the action of moisture in air.
- the film Since a native oxide film plays a role in preventing the corrosion of copper, it is sufficient that the film is formed thinly on the uppermost surface of the exposed first copper layer.
- copper is oxidized by means of the oxygen gas.
- the oxidation in this case is positive oxidation of copper, unlike the oxidation involved in the formation of the native oxide film. Accordingly, it is preferable to suppress the reaction so that the oxidation of copper does not proceed so far.
- the oxidation reaction of copper can be suppressed by keeping the surface temperature of the electrode supporting the semiconductor substrate at 25° C. or below. In this connection, the lower limit of the surface temperature of the electrode is not critical so far as it does not adversely affect the plasma treatment.
- the via hole 8 and the second trench 9 are formed therein with the second barrier metal 10 and buried with the second copper layer 11 . Specifically, the following procedure is carried out.
- a barrier metal film such as a titanium film or tantalum nitride film, is formed by a CVD or sputtering method, followed by further formation of a copper layer thereover. Subsequently, the copper layer and the barrier metal film are polished by a CMP method. Thus, the copper layer and the barrier metal film can be left only inside the via hole and the second trench.
- the formation of the second barrier metal film and the burying of the second copper layer may be performed by other methods.
- a barrier metal is left only in the inside of the second trench by CVD and CMP methods, after which copper may be buried inside the second groove by a plating method using a copper sulfate-based (CuSO 4 ) electrolytic solution.
- CuSO 4 copper sulfate-based
- the first wiring layer 5 , via plug 15 and second wiring layer 12 can be formed ( FIG. 2E ).
- the second wiring layer 12 is electrically connected with the first wiring layer 12 through the via plug 15 .
- the silicon nitride film is formed between the first interlayer insulating film and the second interlayer insulating film, the invention is not limited to this formation.
- Other types of film may be used for the insulating film insofar as it can be etched by means of a fluorine-containing etching gas.
- first wiring layer and the second wiring layer has been described in this embodiment, the invention is not limited to this instance.
- a third wiring layer, a fourth wiring layer and the like layers may be formed over the second wiring layer.
- argon ions, oxygen ions and the like when allowed to collide against and react with the copper layer surface subsequent to the etching step of the silicon nitride film, a fluorine-containing polymer film and the like may be removed from the copper layer surface. This enables formation of a native oxide film on the exposed surface of the copper layer, so that the corrosion of the copper through reaction between moisture in air and the copper can be prevented.
- the method for manufacturing a semiconductor device is characterized by comprising the steps of forming an insulating film on a copper wiring, subjecting the insulating film to plasma etching by use of a fluorine-containing gas to form an opening reaching the copper wiring, once stopping plasma discharge and evacuating the chamber after the formation of the opening, and subjecting an exposed surface of copper at the bottom of the opening to plasma treatment in the same chamber.
- the wiring structure of the semiconductor device according to this embodiment is similar to the structure illustrated in the first embodiment with reference to FIG. 1 .
- a first wiring layer as shown in FIG. 2A is formed. This layer can be formed in the same manner as in the first embodiment.
- a silicon nitride film 6 is formed over a first interlayer insulating film 1 and a first wiring layer 5 .
- the silicon nitride film 6 can be formed, for example, by a CVD or sputtering method.
- a second interlayer insulating film 7 is formed on the silicon nitride film 6 .
- a silicon oxide film is formed by a CVD or sputtering method to provide the second interlayer insulating film.
- a photolithographic method is used for anisotropically etching the second interlayer insulating film 7 .
- a resist pattern (not shown) formed on the second interlayer insulating film 7 is provided as a mask, through which the second interlayer insulating film 7 is etched to an extent of reaching the surface of the silicon nitride film 6 .
- the exposed silicon nitride film 6 is further etched thereby causing the first copper layer 4 of the first wiring layer 5 to be exposed.
- a via hole 8 and a second trench 9 can be formed as shown in FIG. 2 D.
- the silicon nitride film 6 can be etched by anisotropic plasma etching using a fluorine-containing gas.
- the fluorine-containing gas include gases containing tetrafluoromethane or trifluoromethane. More specifically, there can be used a mixed gas of tetrafluoromethane and oxygen, a mixed gas of tetrafluoromethane, oxygen and argon, a mixed gas of trifluoromethane and oxygen, or a mixed gas of trifluoromethane, oxygen and argon.
- This embodiment is characterized in that after the step of etching the silicon nitride film, the chamber is evacuated in such a state that plasma discharge is turned off whereby a fluorine-containing gas or the fluorine component derived from a fluorine-containing gas is substantially removed from the chamber.
- the cleaning step illustrated with respect to the first embodiment is carried out after removing an etching gas component, such as fluorine molecules, attached to the inside of the chamber and the semiconductor substrate as much as possible.
- an etching gas component such as fluorine molecules
- the fluorine molecules attached to the surface of the semiconductor substrate can be removed to an extent. Accordingly, a contaminant can be substantially completely removed from the surface of the first copper layer in the next cleaning step.
- the cleaning step illustrated in the first embodiment is carried out. It will be noted that in the second embodiment, the etching step of the silicon nitride film, the removing step of an etching gas by evacuation and the cleaning step are performed in the same chamber.
- Examples of the etching systems usable in the second embodiment include a parallel plate etching system, an electron cyclone etching system, and an inductive coupling etching system.
- the cleaning step can be carried out in the same manner as in the first embodiment.
- a gas for plasma treatment is introduced into the chamber.
- argon, oxygen, hydrogen (H 2 ), nitrogen (N 2 ), a mixed gas of hydrogen and nitrogen, a mixed gas of oxygen and argon, a mixed gas of nitrogen and argon, or a mixed gas of hydrogen and argon, or the like may be introduced into the chamber.
- plasma discharge is turned on thereby converting the gas into a plasma.
- the plasma conversion may be effected by any of arbitrary methods including a method using irradiation with a microwave, and an inductive or capacitive coupling method using high frequency.
- RF bias power is applied between facing electrodes placed in the etching system. More specifically, bias power is applied between an electrode supporting the semiconductor substrate and a counter electrode that is in face-to-face relation with the first-mentioned electrode through the semiconductor substrate.
- bias power is applied between an electrode supporting the semiconductor substrate and a counter electrode that is in face-to-face relation with the first-mentioned electrode through the semiconductor substrate.
- the ions 13 are allowed to collide with the surface (i.e. copper and fluorine atoms) of the first copper layer 4 as shown in FIG. 3 .
- the ions 13 generated in the plasma has high energy, so that the collision and reaction with the copper atoms and fluorine atoms existing in the surface of the first copper layer 4 enables a polymer film 14 to be removed.
- the contaminant containing a fluorine-containing polymer can be efficiently removed from the surface of the copper layer by allowing high-energy ions, such as argon ion or oxygen ions, to collide against the surface of the copper layer after the evacuation of the chamber subsequent to the etching step of the silicon nitride film. Accordingly, it is becomes possible to uniformly form a native oxide film on the exposed surface of the copper layer, under which if the semiconductor substrate is removed to outside of the chamber, copper is not corroded with moisture in air.
- high-energy ions such as argon ion or oxygen ions
- the film Since a native oxide film plays a role in preventing the corrosion of copper, it is sufficient that the film is formed thinly on the uppermost surface of the exposed copper layer.
- copper is oxidized by means of the oxygen gas.
- the oxidation in this case is positive oxidation of copper, unlike the oxidation involved in the formation of the native oxide film. Accordingly, it is preferable to suppress the reaction so that the oxidation of copper does not proceed so far.
- the oxidation reaction of copper can be suppressed by keeping the surface temperature of the electrode supporting the semiconductor substrate at 25° C. or below. It will be noted that the lower limit of the surface temperature of the electrode is not critical so far as it does not adversely affect the plasma treatment.
- the via hole 8 and the second trench 9 are, respectively, formed therein with a second barrier metal 10 and buried with a second copper layer 11 .
- a barrier metal film such as a titanium film or tantalum nitride film, is formed by a CVD or sputtering method, followed by further formation of a copper layer thereover.
- the copper layer and the barrier metal film are polished by a CMP method.
- the copper layer and the barrier metal film can be left only inside the via hole and the second groove.
- the formation of the second barrier metal film and the burying of the second copper layer may be performed by other methods.
- a barrier metal is left only in the inside of the second trench by CVD and CMP methods, after which copper may be buried inside the second trench according to a plating method using a copper sulfate-based (CuSO 4 ) electrolytic solution.
- CuSO 4 copper sulfate-based
- the first wiring layer 5 , via plug 15 and second wiring layer 12 can be formed ( FIG. 2E ).
- the second wiring layer 12 is electrically connected with the first wiring layer 12 through the via plug 15 .
- the silicon nitride film is formed between the first interlayer insulating film and the second interlayer insulating film, the invention is not limited to this formation.
- Other type of film may be used insofar as it can be used as an insulating film and can be etched by means of a fluorine-containing etching gas.
- first wiring layer and the second wiring layer has been stated in this embodiment, the invention is not limited to this instance.
- a third wiring layer, a fourth wiring layer and the like layers may be formed over the second wiring layer.
- etching gas components attached to the inside of the chamber and the surface of the semiconductor substrate can be removed by evacuation of the chamber subsequent to the etching step of the silicon nitride film.
- the number of fluorine atoms on the surface of the first copper layer can be reduced to some extent prior to the cleaning step. Accordingly, it becomes possible to substantially completely remove a contaminant, such as a fluorine-containing polymer film, from the surface of the copper layer by collision and reaction of argon ions or oxygen ions with the surface of the copper layer in the cleaning step.
- the invention is not limited to these instances.
- the method of the invention is applicable to any purpose insofar as it is to remove a contaminant from the surface of copper which is contaminated through etching using a fluorine-containing gas.
- the invention is applicable to methods of manufacturing semiconductor devices having copper wiring.
- the invention may be directed to a method for manufacturing a semiconductor device, which is characterized by comprising the steps of forming an insulating film on copper wiring, etching the insulating film by use of a fluorine-containing gas to form an opening reaching the copper wiring, and subjecting, to plasma treatment, a surface of copper exposed at the bottom of the opening.
- the step of forming the opening and the plasma-treating step can be carried out in the same chamber.
- the chamber may be evacuated to substantially remove a fluorine-containing gas and a fluorine component derived from the fluorine-containing gas from the chamber, followed by the plasma treatment.
- the insulating film may be made of either a silicon nitride film, or a film wherein a silicon oxide film is built up on a silicon nitride film.
- a contaminant such as a fluorine-containing polymer film is removed from an exposed surface of a copper layer, so that the surface of the copper layer can be brought to a state where a native oxide film is formed. This enables the copper to be prevented from corroding with moisture in air.
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Drying Of Semiconductors (AREA)
Abstract
A substrate having a copper wiring is prepared. An insulating film is formed on the copper wiring. The insulating film is etched with a gas containing fluorine to form an opening reaching the copper wiring. A plasma treatment is carried out on a surface of copper exposed at a bottom of the opening without turning plasma discharge of f after forming the opening in the same chamber as the formation of the opening.
Description
- 1. Field of the Invention
- This invention relates to a method of manufacturing a semiconductor device, and more particularly, to a method of manufacturing a semiconductor device having a copper wiring.
- 2. Background Art
- Copper (Cu) has been employed as a wiring material in semiconductor devices. Copper is advantageous in that it is lower in resistance than aluminum (Al), with its permissible current in reliability being greater by two or more orders of magnitude than Al. Accordingly, the comparison between copper and aluminum leads to the fact that when using copper in order to obtain the same level of wiring resistance, the film thickness can be made smaller, with the possibility that the capacitance between wiring is reduced.
- On the other hand, copper has the problem that it exhibits a high diffusion velocity in a silicon (Si) film or a silicon oxide (SiO2) film. In order to solve this problem, it has been usual to provide a multi-layered wiring structure (see, e.g., Japanese Patent Laid-open No. 10-261715).
- Reference is now made to a copper wiring procedure in a multi-layered wiring structure. Initially, a first trench is formed in a first silicon oxide film. After the formation of a barrier metal film for preventing copper from being diffused toward the inner walls of the first trench, copper is buried in the first trench to form a first wiring layer. Next, after the formation of a silicon nitride (Si3N4) film on the first silicon oxide film so as to cover the first wiring layer therewith, a second silicon oxide film is formed on the silicon nitride film. Subsequently, the second silicon oxide film and the silicon nitride film are, respectively, etched to form a via hole and a second trench. Thereafter, the via hole and the second trench are, respectively, formed with a barrier metal on the inner surfaces thereof, and copper is buried in the via hole and the second trench, thereby forming a via plug and a second wiring layer. According to the steps set out hereinabove, the first wiring layer and the second wiring layer are electrically connected with each other through the via plug to form copper wiring having a multi-layered wiring structure.
- In the above copper wiring procedure, when the via hole and the second trench are formed, the second silicon oxide film is etched to reach the surface of the silicon nitride film. Then, the silicon nitride film is etched with a mixed gas of tetrafluoromethane (CF4) and oxygen (O2), or a mixed gas of trifluoromethane (CHF3) and oxygen as an etching gas. In this way, copper for forming the first wiring layer is exposed to at the bottom of the via hole.
- However, a fluorine-based deposit derived from the etching gas for the silicon nitride film is left on the copper surface immediately after the etching, with the attendant problem that the reaction between the fluorine and the copper enables a fluorine-containing polymer film to be formed on the copper surface. The formation of such a polymer film creates such a state that a native oxide film on the copper surface is undesirably broken. In this condition, if the semiconductor substrate is removed to outside of the etching chamber, the copper reacts with moisture in air thereby causing the copper to be corroded.
- In view of the problems set above, the invention has been accomplished. It is therefore an object of the invention to provide a method for manufacturing a semiconductor device wherein a contaminant containing a fluorine-containing polymer is removed from an exposed copper surface to provide such a state that a native oxide film is formed on the copper surface, thereby suppressing the copper from being corroded.
- According to one aspect of the present invention, in a method of manufacturing a semiconductor device, a substrate having a copper wiring is prepared. An insulating film is formed on the copper wiring. The insulating film is etched with a gas containing fluorine to form an opening reaching the copper wiring. A plasma treatment is carried out on a surface of copper exposed at a bottom of the opening without turning plasma discharge off after forming the opening in the same chamber as the formation of the opening.
- According to another aspect of the present invention, in a method of manufacturing a semiconductor device, a substrate having a copper wiring is prepared. An insulating film is formed on the copper wiring. The insulating film is etched with a gas containing fluorine to form an opening reaching the copper wiring. A plasma treatment is carried out on a surface of copper exposed at a bottom of the opening. The formation of the opening and the plasma treatment are carried out in the same chamber. After forming the opening, the plasma discharge is once stopped and the chamber is evacuated, and thereafter, the plasma treatment is carried out.
- According to other aspect of the present invention, in a method of manufacturing a semiconductor device having a multi-layered wiring structure, a first interlayer insulating film is formed on a semiconductor substrate. A trench is formed in the first interlayer insulating film. A first barrier metal layer is formed inside the trench. A first copper layer is buried through the first barrier metal layer in the groove to form a first wiring layer. A silicon nitride film is formed on the first interlayer insulating film and the first wiring layer. A second interlayer insulating film is formed on the silicon nitride film. The second interlayer insulating film is etched to expose part of the silicon nitride film. The exposed silicon nitride film is etched with a gas containing fluorine to form a via hole exposing the first copper layer. A plasma treatment is carried out on the exposed first copper layer to remove a contaminant containing a polymer containing fluorine. A second barrier metal film is formed inside the via hole. A second copper layer is buried through the second barrier metal film in the via hole to form a via plug.
- Other and further objects, features and advantages of the invention will appear more fully from the following description.
-
FIG. 1 is a partial sectional view of a semiconductor device according to the present invention. -
FIG. 2A shows a method of manufacturing a semiconductor device according to the present invention. -
FIG. 2B shows a method of manufacturing a semiconductor device according to the present invention. -
FIG. 3 shows a method of manufacturing a semiconductor device according to the present invention. - The embodiments of the invention are described in detail with reference to the accompanying drawings.
- A method of manufacturing a semiconductor device according to an embodiment of the invention is characterized by comprising the steps of forming an insulating film on copper wiring, etching the insulating film by use of a fluorine-containing gas to form an opening reaching the copper wiring, and subjecting, after the step of forming the opening, the surface of copper exposed at a bottom of the opening to plasma treatment continuously in the same chamber without stopping plasma discharge.
-
FIG. 1 is a partial sectional view illustrating a wiring structure of a semiconductor device according to this embodiment. - As shown in
FIG. 1 , the semiconductor device of the embodiment has a multi-layered wiring structure. - More specifically, a first
interlayer insulating layer 1, such as a silicon oxide (SiO2) film or the like, formed on a semiconductor substrate (not shown) is formed with afirst trench 2 for burying of wiring therein. A firstbarrier metal film 3 for preventing copper (Cu) from being diffused is formed on the inner surfaces (i.e. inner walls and a bottom surface herein and whenever they appear hereinafter) of thefirst trench 2. The firstbarrier metal film 3 used may be made, for example, of a titanium (TiN) film, a tantalum nitride (TaN) film or the like. Afirst copper layer 4 is buried in thefirst trench 2 in which the firstbarrier metal film 3 has been formed, thereby forming afirst wiring layer 5. - A second
interlayer insulating film 7 is formed on the firstinterlayer insulating film 1 and thefirst wiring layer 5 via a silicon nitride (Si3N4)film 6 serving as an insulating film. For example, a silicon oxide film may be used for the secondinterlayer insulating film 7. A viahole 8 and asecond trench 9 are formed in the secondinterlayer insulating film 7 over thefirst wiring layer 5, and a secondbarrier metal film 10 is formed on the inner surfaces thereof in order to prevent diffusion of copper. For example, a titanium nitride film or tantalum nitride film may be used for the secondbarrier metal film 10. Asecond copper layer 11 is buried in the viahole 8 and thesecond trench 9, in which the secondbarrier metal film 10 has been formed, thereby forming a viaplug 15 and asecond wiring layer 12. - With such a structure, the
first wiring layer 5 and thesecond wiring layer 12 are electrically connected with each other through the viaplug 15. - Next, a description is now made of the method for manufacturing the semiconductor device according to the invention with reference to
FIGS. 2A to 2E andFIG. 3 . It will be noted that inFIGS. 2A to 2E andFIG. 3 , like reference numerals indicate like portions or members as inFIG. 1 . - Initially, the
first trench 2 is formed by etching the firstinterlayer insulating film 1 formed on a semiconductor substrate (not shown), and the firstbarrier metal film 3 is formed on the inner surfaces of thefirst trench 2. Thereafter, thefirst copper layer 4 is buried in thefirst trench 2 to form the first wiring layer 5 (FIG. 2A ). - More specifically, the procedure of forming the first wiring layer includes the steps of forming the first interlayer insulating film, etching the first interlayer insulating film to form the first trench, forming the first barrier metal film on the inner surfaces of the first trench, and forming the first copper layer inside the first trench through the first barrier metal.
- The first trench can be formed by anisotropic plasma etching with an etching gas such as, a mixed gas of hexafluorobutadiene (C4F6), oxygen (O2) and argon (Ar), or a mixed gas of as octafluorobutene (C4F8) and argon.
- The formation of the first barrier metal film and the burying of the first copper layer can be performed in the following way. Initially, a barrier metal film such as a titanium nitride film or tantalum nitride film is formed by a chemical vapor deposition method (hereinafter referred to as CVD method) or a sputtering method, followed by formation of a copper layer. Subsequently, the copper layer and the barrier metal film are polished according to a chemical mechanical polishing (hereinafter referred to as CMP) method. In this way, the copper layer and the barrier metal film are left only inside the first trench.
- The formation of the first barrier metal film and the burying of the first copper layer may be performed by other methods, respectively. For instance, after the formation of the barrier metal film only inside the first trench by CVD and CMP methods, the copper layer may be buried in the inside of the first trench by a plating method using an electrolytic solution based on copper sulfate (CuSO4).
- Next, as shown in
FIG. 2B , asilicon nitride film 6 is formed over the firstinterlayer insulating film 1 and thefirst wiring layer 5. Thesilicon nitride film 6 may be formed, for example, by a CVD or sputtering method. - Subsequently, as shown in
FIG. 2C , the secondinterlayer insulating film 7 is formed on thesilicon nitride film 6. For instance, a silicon oxide film may be formed by a CVD or sputtering method for use as the second interlayer insulating film. - Next, the via hole and the second trench e for second wiring layer are, respectively, formed.
- First, using a photolithographic technique, the second interlayer insulating film is anisotropically etched. More specifically, using a resist pattern (not shown) formed on the second
interlayer insulating film 7 as a mask, the secondinterlayer insulating film 7 is etched to an extent of reaching thesilicon nitride film 6. In other words, this etching enables part of the surface of thesilicon nitride film 6 to be exposed. For an etching gas, there can be used, for example, a mixed gas of hexafluorobutadiene (C4F6), oxygen (O2) and argon (Ar), or a mixed gas of octafluorobutene (C4F8) and argon. Next, the exposedsilicon nitride film 6 is etched to cause thefirst copper layer 4 of thefirst wiring layer 5 to be exposed. - According to the etching treatments set out hereinabove, the via
hole 8 and thesecond trench 9 can be made as is particularly shown inFIG. 2D . - The
silicon nitride film 6 may be etched by anisotropic plasma etching using a fluorine-containing gas. Examples of the fluorine-containing gas include gases containing tetrafluoromethane or trifluoromethane. Specific examples include a mixed gas of tetralfuoromethane and oxygen, a mixed gas of tetralfuoromethane, oxygen and argon, a mixed gas of trifluoromethane and oxygen, and a mixed gas of trifluoromethane, oxygen and argon. - In the method of manufacturing a semiconductor device according to the invention, after completing of the etching step of the silicon nitride film, the cleaning step of removing a contaminant formed on the exposed surface of the first copper layer is carried out. The term “contaminant” used herein means a fluorine-containing polymer film formed by reaction between fluorine and copper, or a fluorine-containing polymer film deposited on the copper.
- In the practice of the invention, it is preferred that the semiconductor substrate, particularly, the exposed surface of the first copper layer is not in contact with air. More specifically, the etching and cleaning steps of the silicon nitride should preferably be carried out in the same chamber.
- Examples of the etching systems usable in the etching and cleaning steps of the silicon nitride film include a parallel plate etching system, an electron cyclone etching system, and an inductive coupling etching system.
- The cleaning step can be particularly carried out by subjecting the surface of the first copper layer to plasma treatment.
- After completing the etching step of the silicon nitride film, a gas for plasma treatment is introduced into the chamber. For example, argon, oxygen, hydrogen (H2), nitrogen (N2), a mixed gas of hydrogen and nitrogen, a mixed gas of oxygen and argon, a mixed gas of nitrogen and argon, or a mixed gas of hydrogen and argon may be introduced into the chamber.
- In this embodiment, the above-mentioned gas is converted into a plasma subsequent to the conversion, into a plasma, of the etching gas used in the etching step of the silicon nitride film. More specifically, the plasma discharge that has been continuedly carried out in the etching step is not stopped, followed by the cleaning step. It will be noted that the conversion into plasma may be carried out by any of arbitrary methods, which include a method using irradiation with a microwave, and a method based on inductive coupling or capacitive coupling by use of high frequency
- Next, RF bias power is applied between facing electrodes placed in the etching system. More specifically, bias power is applied between an electrode supporting the semiconductor substrate and a counter electrode that is in face-to-face relation with the first-mentioned electrode through the semiconductor substrate. This permits argon ions, oxygen ions, hydrogen ions or nitrogen ions generated in the resultant plasma to be attracted toward one of the electrodes by the coulomb force. Accordingly, when the semiconductor substrate is placed at an appropriate position between the electrodes, the
ions 13 are allowed to collide with the surface (i.e. copper and fluorine atoms) of thefirst copper layer 4 as shown inFIG. 3 . Theions 13 generated in the plasma has high energy, so that the collision and reaction with the copper atoms and fluorine atoms existing in the surface of thefirst copper layer 4 enables apolymer film 14 to be removed. - An instance of the cleaning step is described below.
- An etching system, which has a pair of facing electrodes composed of an upper electrode and a lower electrode and the lower electrode serves as a holder for semiconductor substrate, is used. The etching system may be of any type including a parallel plate type, an electron cyclone type or an inductive coupling type. The semiconductor substrate is placed on the lower electrode in such a way that the exposed surface of the first copper layer is turned toward the side of the upper electrode. Next, a mixed gas of argon and oxygen is introduced into the chamber, with its pressure being kept, for example, at 50 mTorr. The flow rate of the introduced gas is, for example, at 400 sccm for the argon gas and 20 sccm for oxygen gas. Power of 1,400 W is applied to the upper electrode, and power of 1,000 W is applied to the lower electrode, under which argon and oxygen ions generated in the plasma are allowed to collide with the surface of the first copper layer.
- It is preferred that throughout the etching and cleaning steps of the silicon nitride film, the surface temperature of the electrode serving as a holder supporting the semiconductor substrate is set at 25° C. or below. This can suppress the positive oxidation reaction of copper from occurring as would otherwise take place in the case where the oxygen gas is introduced into the chamber. In the above instance, the surface temperature of the lower electrode can be set, for example, at 20° C.
- When the high-energy ions, such as the argon and oxygen ions, are brought into collision with the exposed surface of the first copper layer subsequent to the etching step of the silicon nitride film, a fluorine-containing polymer film can be removed from the surface of the first copper layer. In addition, the fluorine atoms attached to the surface of the first copper layer can also be removed, so that the formation of a fresh polymer film can be prevented. Thus, a native oxide film can be formed uniformly over the surface of the first copper layer, under which if the semiconductor substrate is removed to the outside of the chamber, the copper is not corroded by the action of moisture in air.
- Since a native oxide film plays a role in preventing the corrosion of copper, it is sufficient that the film is formed thinly on the uppermost surface of the exposed first copper layer. On the other hand, in the case where an oxygen gas is introduced into the chamber in the cleaning step, copper is oxidized by means of the oxygen gas. The oxidation in this case is positive oxidation of copper, unlike the oxidation involved in the formation of the native oxide film. Accordingly, it is preferable to suppress the reaction so that the oxidation of copper does not proceed so far. According to this embodiment, the oxidation reaction of copper can be suppressed by keeping the surface temperature of the electrode supporting the semiconductor substrate at 25° C. or below. In this connection, the lower limit of the surface temperature of the electrode is not critical so far as it does not adversely affect the plasma treatment.
- After completion of the cleaning step, the via
hole 8 and thesecond trench 9 are formed therein with thesecond barrier metal 10 and buried with thesecond copper layer 11. Specifically, the following procedure is carried out. - Initially, a barrier metal film, such as a titanium film or tantalum nitride film, is formed by a CVD or sputtering method, followed by further formation of a copper layer thereover. Subsequently, the copper layer and the barrier metal film are polished by a CMP method. Thus, the copper layer and the barrier metal film can be left only inside the via hole and the second trench.
- The formation of the second barrier metal film and the burying of the second copper layer may be performed by other methods. For instance, a barrier metal is left only in the inside of the second trench by CVD and CMP methods, after which copper may be buried inside the second groove by a plating method using a copper sulfate-based (CuSO4) electrolytic solution.
- According to the steps set out hereinabove, the
first wiring layer 5, viaplug 15 andsecond wiring layer 12 can be formed (FIG. 2E ). Thesecond wiring layer 12 is electrically connected with thefirst wiring layer 12 through the viaplug 15. - In this embodiment, although the silicon nitride film is formed between the first interlayer insulating film and the second interlayer insulating film, the invention is not limited to this formation. Other types of film may be used for the insulating film insofar as it can be etched by means of a fluorine-containing etching gas.
- Although the instance of forming the first wiring layer and the second wiring layer has been described in this embodiment, the invention is not limited to this instance. When like steps are repeated the desired number of times, a third wiring layer, a fourth wiring layer and the like layers may be formed over the second wiring layer.
- According to the embodiment, when argon ions, oxygen ions and the like are allowed to collide against and react with the copper layer surface subsequent to the etching step of the silicon nitride film, a fluorine-containing polymer film and the like may be removed from the copper layer surface. This enables formation of a native oxide film on the exposed surface of the copper layer, so that the corrosion of the copper through reaction between moisture in air and the copper can be prevented.
- According to the embodiment, when the surface temperature of an electrode supporting the semiconductor substrate is kept at 25° C. or below, progress in oxidation of copper with an oxygen gas in the chamber can be suppressed.
- The method for manufacturing a semiconductor device according to this embodiment is characterized by comprising the steps of forming an insulating film on a copper wiring, subjecting the insulating film to plasma etching by use of a fluorine-containing gas to form an opening reaching the copper wiring, once stopping plasma discharge and evacuating the chamber after the formation of the opening, and subjecting an exposed surface of copper at the bottom of the opening to plasma treatment in the same chamber.
- The wiring structure of the semiconductor device according to this embodiment is similar to the structure illustrated in the first embodiment with reference to
FIG. 1 . - Next, with reference to
FIGS. 2A to 2E , the method for manufacturing the semiconductor device of this embodiment is described. - A first wiring layer as shown in
FIG. 2A is formed. This layer can be formed in the same manner as in the first embodiment. - Next, as shown in
FIG. 2B , asilicon nitride film 6 is formed over a firstinterlayer insulating film 1 and afirst wiring layer 5. Thesilicon nitride film 6 can be formed, for example, by a CVD or sputtering method. - Subsequently, as shown in
FIG. 2C , a secondinterlayer insulating film 7 is formed on thesilicon nitride film 6. For instance, a silicon oxide film is formed by a CVD or sputtering method to provide the second interlayer insulating film. - Next, a via
hole 8 and asecond trench 9 for second wiring layer are formed. - For this purpose, a photolithographic method is used for anisotropically etching the second
interlayer insulating film 7. More specifically, a resist pattern (not shown) formed on the secondinterlayer insulating film 7 is provided as a mask, through which the secondinterlayer insulating film 7 is etched to an extent of reaching the surface of thesilicon nitride film 6. For the etching gas, a mixed gas of hexafluorobutadiene (C4F6), oxygen (O2) and argon (Ar), a mixed gas of octafluorobutene (C4F8) and argon or the like. Next, the exposedsilicon nitride film 6 is further etched thereby causing thefirst copper layer 4 of thefirst wiring layer 5 to be exposed. - According to the above-mentioned etching treatments, a via
hole 8 and asecond trench 9 can be formed as shown in FIG. 2D. - The
silicon nitride film 6 can be etched by anisotropic plasma etching using a fluorine-containing gas. Examples of the fluorine-containing gas include gases containing tetrafluoromethane or trifluoromethane. More specifically, there can be used a mixed gas of tetrafluoromethane and oxygen, a mixed gas of tetrafluoromethane, oxygen and argon, a mixed gas of trifluoromethane and oxygen, or a mixed gas of trifluoromethane, oxygen and argon. - This embodiment is characterized in that after the step of etching the silicon nitride film, the chamber is evacuated in such a state that plasma discharge is turned off whereby a fluorine-containing gas or the fluorine component derived from a fluorine-containing gas is substantially removed from the chamber.
- More specifically, according to this embodiment, the cleaning step illustrated with respect to the first embodiment is carried out after removing an etching gas component, such as fluorine molecules, attached to the inside of the chamber and the semiconductor substrate as much as possible. This permits the fluorine molecules and the like attached to the inside of the chamber to be prevented from attaching to the semiconductor substrate. In addition, the fluorine molecules attached to the surface of the semiconductor substrate can be removed to an extent. Accordingly, a contaminant can be substantially completely removed from the surface of the first copper layer in the next cleaning step.
- After the substantial removal of the etching component through the evacuation of the chamber, the cleaning step illustrated in the first embodiment is carried out. It will be noted that in the second embodiment, the etching step of the silicon nitride film, the removing step of an etching gas by evacuation and the cleaning step are performed in the same chamber.
- Examples of the etching systems usable in the second embodiment include a parallel plate etching system, an electron cyclone etching system, and an inductive coupling etching system.
- The cleaning step can be carried out in the same manner as in the first embodiment.
- Initially, a gas for plasma treatment is introduced into the chamber. For instance, argon, oxygen, hydrogen (H2), nitrogen (N2), a mixed gas of hydrogen and nitrogen, a mixed gas of oxygen and argon, a mixed gas of nitrogen and argon, or a mixed gas of hydrogen and argon, or the like may be introduced into the chamber.
- Next, plasma discharge is turned on thereby converting the gas into a plasma. The plasma conversion may be effected by any of arbitrary methods including a method using irradiation with a microwave, and an inductive or capacitive coupling method using high frequency.
- Next, RF bias power is applied between facing electrodes placed in the etching system. More specifically, bias power is applied between an electrode supporting the semiconductor substrate and a counter electrode that is in face-to-face relation with the first-mentioned electrode through the semiconductor substrate. This permits argon ions, oxygen ions, hydrogen ions or nitrogen ions generated in the resultant plasma to be attracted toward one of the electrodes by the coulomb force. Accordingly, when the semiconductor substrate is placed at an appropriate position between the electrodes, the
ions 13 are allowed to collide with the surface (i.e. copper and fluorine atoms) of thefirst copper layer 4 as shown inFIG. 3 . Theions 13 generated in the plasma has high energy, so that the collision and reaction with the copper atoms and fluorine atoms existing in the surface of thefirst copper layer 4 enables apolymer film 14 to be removed. - In this way, the contaminant containing a fluorine-containing polymer can be efficiently removed from the surface of the copper layer by allowing high-energy ions, such as argon ion or oxygen ions, to collide against the surface of the copper layer after the evacuation of the chamber subsequent to the etching step of the silicon nitride film. Accordingly, it is becomes possible to uniformly form a native oxide film on the exposed surface of the copper layer, under which if the semiconductor substrate is removed to outside of the chamber, copper is not corroded with moisture in air.
- Since a native oxide film plays a role in preventing the corrosion of copper, it is sufficient that the film is formed thinly on the uppermost surface of the exposed copper layer. On the other hand, in case where oxygen gas is introduced into the chamber in the cleaning step, copper is oxidized by means of the oxygen gas. The oxidation in this case is positive oxidation of copper, unlike the oxidation involved in the formation of the native oxide film. Accordingly, it is preferable to suppress the reaction so that the oxidation of copper does not proceed so far. As stated in the first embodiment, the oxidation reaction of copper can be suppressed by keeping the surface temperature of the electrode supporting the semiconductor substrate at 25° C. or below. It will be noted that the lower limit of the surface temperature of the electrode is not critical so far as it does not adversely affect the plasma treatment.
- After completion of the cleaning step, the via
hole 8 and thesecond trench 9 are, respectively, formed therein with asecond barrier metal 10 and buried with asecond copper layer 11. Specifically, the following procedure is carried out. Initially, a barrier metal film, such as a titanium film or tantalum nitride film, is formed by a CVD or sputtering method, followed by further formation of a copper layer thereover. Subsequently, the copper layer and the barrier metal film are polished by a CMP method. Thus, the copper layer and the barrier metal film can be left only inside the via hole and the second groove. - The formation of the second barrier metal film and the burying of the second copper layer may be performed by other methods. For instance, a barrier metal is left only in the inside of the second trench by CVD and CMP methods, after which copper may be buried inside the second trench according to a plating method using a copper sulfate-based (CuSO4) electrolytic solution.
- According to the steps set out hereinabove, the
first wiring layer 5, viaplug 15 andsecond wiring layer 12 can be formed (FIG. 2E ). Thesecond wiring layer 12 is electrically connected with thefirst wiring layer 12 through the viaplug 15. - In this embodiment, although the silicon nitride film is formed between the first interlayer insulating film and the second interlayer insulating film, the invention is not limited to this formation. Other type of film may be used insofar as it can be used as an insulating film and can be etched by means of a fluorine-containing etching gas.
- Although the instance of forming the first wiring layer and the second wiring layer has been stated in this embodiment, the invention is not limited to this instance. When like steps are repeated the desired number of times, a third wiring layer, a fourth wiring layer and the like layers may be formed over the second wiring layer.
- According to the embodiment, etching gas components attached to the inside of the chamber and the surface of the semiconductor substrate can be removed by evacuation of the chamber subsequent to the etching step of the silicon nitride film. In other words, the number of fluorine atoms on the surface of the first copper layer can be reduced to some extent prior to the cleaning step. Accordingly, it becomes possible to substantially completely remove a contaminant, such as a fluorine-containing polymer film, from the surface of the copper layer by collision and reaction of argon ions or oxygen ions with the surface of the copper layer in the cleaning step.
- In the first embodiment and the second embodiment, although the instances of subjecting, to plasma treatment, the first wiring layer and the first copper layer that are exposed for the formation of the second wiring layer have been described, the invention is not limited to these instances. The method of the invention is applicable to any purpose insofar as it is to remove a contaminant from the surface of copper which is contaminated through etching using a fluorine-containing gas.
- For instance, the invention is applicable to methods of manufacturing semiconductor devices having copper wiring. In this sense, the invention may be directed to a method for manufacturing a semiconductor device, which is characterized by comprising the steps of forming an insulating film on copper wiring, etching the insulating film by use of a fluorine-containing gas to form an opening reaching the copper wiring, and subjecting, to plasma treatment, a surface of copper exposed at the bottom of the opening. The step of forming the opening and the plasma-treating step can be carried out in the same chamber. After the step of forming the opening, the chamber may be evacuated to substantially remove a fluorine-containing gas and a fluorine component derived from the fluorine-containing gas from the chamber, followed by the plasma treatment. The insulating film may be made of either a silicon nitride film, or a film wherein a silicon oxide film is built up on a silicon nitride film.
- The features and advantages of the present invention may be summarized as follows.
- According to one aspect, a contaminant such as a fluorine-containing polymer film is removed from an exposed surface of a copper layer, so that the surface of the copper layer can be brought to a state where a native oxide film is formed. This enables the copper to be prevented from corroding with moisture in air.
- Obviously many modifications and variations of the present invention are possible in the light of the above teachings. It is therefore to be understood that within the scope of the appended claims the invention may be practiced otherwise than as specifically described.
- The entire disclosure of a Japanese Patent Application No. 2003-038320, filed Feb. 17, 2003 including specification, claims, drawings and summary, on which the Convention priority of the present application is based, are incorporated herein by reference in its entirety.
Claims (7)
1-12. (canceled)
13. A method of manufacturing a semiconductor device comprising:
forming a first dielectric layer supported by a semiconductor substrate;
forming a groove in said first dielectric layer;
depositing a first barrier metal layer in the groove by a method selected from the group consisting of chemical vapor deposition and sputtering;
depositing a first copper layer on said first barrier metal layer in the groove to form wiring;
depositing an insulating film on said first dielectric layer and said wiring;
forming a second dielectric layer on said insulating film;
etching said second dielectric layer to form a via hole reaching said insulating film;
etching said insulating film in said via hole with a gas mixture including fluorine to expose a part of said wiring;
before exposing the part of said wiring that is exposed by etching and said via hole to the atmosphere, plasma treating said wiring in said via hole to remove contamination, including fluorine, from said wiring after plasma treating, forming a native oxide film on a surface of said wiring that has been exposed by etching of said insulating film;
after forming said native oxide film, depositing a second barrier metal layer in said via hole by a method selected from the group consisting of chemical vapor deposition and sputtering;
depositing a second copper layer on said second barrier metal layer by plating; and
removing said second copper layer and said second barrier metal from said second dielectric layer by chemical-mechanical polishing.
14. A method of manufacturing a semiconductor device comprising:
preparing a first interlayer insulator film including first copper wiring and supported by a semiconductor substrate;
forming an insulating film on said first copper wiring;
forming a second interlayer insulator film on said insulating film;
forming a first opening in said second interlayer insulator film, said first opening reaching said insulating film;
etching said insulating film at the bottom of said first opening in a first plasma discharge within a gas mixture including fluorine and thereby forming a second opening reaching said first copper wiring;
before exposing said second opening to the atmosphere, treating said first copper wiring at the bottom of said second opening with a second plasma discharge to remove contamination, including a polymer containing fluorine, from said first copper wiring;
after treating said first copper wiring with said second plasma discharge, forming a native oxide film on a surface of said first copper wiring that has been exposed by etching of said insulating film;
after forming said native oxide film, forming a barrier metal layer in said second opening by a method selected from the group consisting of chemical vapor deposition and sputtering; and
after forming said barrier metal layer, forming a second copper wiring in said second opening by plating; and
removing said second copper layer and said second barrier metal from said second dielectric layer by chemical-mechanical polishing.
15. The method of manufacturing a semiconductor device according to claim 14 , wherein said insulating film is a silicon nitride film.
16. The method of manufacturing a semiconductor device according to claim 14 , wherein said gas mixture includes at least one of tetrafluoromethane and trifluoromethane.
17. The method of manufacturing a semiconductor device according to claim 14 , including establishing said second plasma in an ambient selected from the group consisting of argon, oxygen, hydrogen, nitrogen, a mixture of hydrogen and nitrogen, a mixture of oxygen and argon, a mixture of nitrogen and argon, and a mixture of hydrogen and argon.
18. The method of manufacturing a semiconductor device according to claim 14 , including, during treating said first copper wiring with said second plasma discharge, applying a bias power between a first electrode supporting said semiconductor substrate and a second electrode, opposite said first electrode, with said semiconductor substrate between said first and second electrodes, and maintaining surface temperature of said first electrode at no more than 25° C.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/265,763 US20090075479A1 (en) | 2003-02-17 | 2008-11-06 | Method of manufacturing semiconductor device |
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2003-038320 | 2003-02-17 | ||
JP2003038320A JP2004247675A (en) | 2003-02-17 | 2003-02-17 | Method of manufacturing semiconductor device |
US10/464,790 US7098139B2 (en) | 2003-02-17 | 2003-06-19 | Method of manufacturing a semiconductor device with copper wiring treated in a plasma discharge |
US11/489,471 US7462565B2 (en) | 2003-02-17 | 2006-07-20 | Method of manufacturing semiconductor device |
US12/265,763 US20090075479A1 (en) | 2003-02-17 | 2008-11-06 | Method of manufacturing semiconductor device |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/489,471 Continuation US7462565B2 (en) | 2003-02-17 | 2006-07-20 | Method of manufacturing semiconductor device |
Publications (1)
Publication Number | Publication Date |
---|---|
US20090075479A1 true US20090075479A1 (en) | 2009-03-19 |
Family
ID=32767682
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/464,790 Expired - Lifetime US7098139B2 (en) | 2003-02-17 | 2003-06-19 | Method of manufacturing a semiconductor device with copper wiring treated in a plasma discharge |
US11/489,471 Expired - Lifetime US7462565B2 (en) | 2003-02-17 | 2006-07-20 | Method of manufacturing semiconductor device |
US12/265,763 Abandoned US20090075479A1 (en) | 2003-02-17 | 2008-11-06 | Method of manufacturing semiconductor device |
Family Applications Before (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/464,790 Expired - Lifetime US7098139B2 (en) | 2003-02-17 | 2003-06-19 | Method of manufacturing a semiconductor device with copper wiring treated in a plasma discharge |
US11/489,471 Expired - Lifetime US7462565B2 (en) | 2003-02-17 | 2006-07-20 | Method of manufacturing semiconductor device |
Country Status (6)
Country | Link |
---|---|
US (3) | US7098139B2 (en) |
JP (1) | JP2004247675A (en) |
KR (1) | KR100597155B1 (en) |
CN (3) | CN101179048A (en) |
DE (1) | DE10340848A1 (en) |
TW (1) | TWI240366B (en) |
Families Citing this family (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004247675A (en) * | 2003-02-17 | 2004-09-02 | Renesas Technology Corp | Method of manufacturing semiconductor device |
JP2005347511A (en) * | 2004-06-03 | 2005-12-15 | Matsushita Electric Ind Co Ltd | Semiconductor device and its manufacturing method |
US7501350B2 (en) * | 2004-11-05 | 2009-03-10 | Tokyo Electron Limited | Plasma processing method |
JP4643975B2 (en) * | 2004-11-26 | 2011-03-02 | ルネサスエレクトロニクス株式会社 | Manufacturing method of semiconductor device |
JP2006165189A (en) * | 2004-12-06 | 2006-06-22 | Nec Electronics Corp | Method of manufacturing semiconductor device |
US20060148243A1 (en) * | 2004-12-30 | 2006-07-06 | Jeng-Ho Wang | Method for fabricating a dual damascene and polymer removal |
DE102005004409B4 (en) * | 2005-01-31 | 2011-01-20 | Advanced Micro Devices, Inc., Sunnyvale | A technique for increasing process flexibility during the fabrication of vias and trenches in low-k interlayer dielectrics |
JP2006286802A (en) * | 2005-03-31 | 2006-10-19 | Fujitsu Ltd | Method for forming buried wiring |
JP4159584B2 (en) * | 2006-06-20 | 2008-10-01 | エルピーダメモリ株式会社 | Manufacturing method of semiconductor device |
JP4550786B2 (en) | 2006-08-21 | 2010-09-22 | 株式会社東芝 | Manufacturing method of semiconductor device |
CN101286473B (en) * | 2007-04-13 | 2010-08-11 | 中芯国际集成电路制造(上海)有限公司 | Fabricating method for semiconductor device |
JP5465897B2 (en) * | 2009-03-05 | 2014-04-09 | ルネサスエレクトロニクス株式会社 | Manufacturing method of semiconductor integrated circuit device |
JP2010056574A (en) * | 2009-12-07 | 2010-03-11 | Nec Electronics Corp | Method of manufacturing semiconductor device |
CN103377913B (en) * | 2012-04-18 | 2016-08-31 | 中芯国际集成电路制造(上海)有限公司 | The forming method of opening |
US20150340611A1 (en) * | 2014-05-21 | 2015-11-26 | Sony Corporation | Method for a dry exhumation without oxidation of a cell and source line |
US20210159052A1 (en) | 2019-11-27 | 2021-05-27 | Applied Materials, Inc. | Processing Chamber With Multiple Plasma Units |
US11721542B2 (en) * | 2019-11-27 | 2023-08-08 | Applied Materials, Inc. | Dual plasma pre-clean for selective gap fill |
US12068385B2 (en) * | 2021-04-16 | 2024-08-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Oxidation to mitigate dry etch and/or wet etch fluorine residue |
Citations (23)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4209356A (en) * | 1978-10-18 | 1980-06-24 | General Electric Company | Selective etching of polymeric materials embodying silicones via reactor plasmas |
US4588641A (en) * | 1983-11-22 | 1986-05-13 | Olin Corporation | Three-step plasma treatment of copper foils to enhance their laminate adhesion |
US5244535A (en) * | 1991-03-15 | 1993-09-14 | Texas Instruments Incorporated | Method of manufacturing a semiconductor device including plasma treatment of contact holes |
US5892286A (en) * | 1996-06-26 | 1999-04-06 | Mitsubishi Denki Kabushiki Kaisha | Semiconductor device and manufacturing method thereof |
US6057247A (en) * | 1997-10-29 | 2000-05-02 | Matsushita Electronics Corporation | Method for fabricating semiconductor device and method for controlling environment inside reaction chamber of dry etching apparatus |
US6143658A (en) * | 1996-12-12 | 2000-11-07 | Lucent Technologies Inc. | Multilevel wiring structure and method of fabricating a multilevel wiring structure |
US6162733A (en) * | 1999-01-15 | 2000-12-19 | Lucent Technologies Inc. | Method for removing contaminants from integrated circuits |
US6174796B1 (en) * | 1998-01-30 | 2001-01-16 | Fujitsu Limited | Semiconductor device manufacturing method |
US6204192B1 (en) * | 1999-03-29 | 2001-03-20 | Lsi Logic Corporation | Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures |
US20010008226A1 (en) * | 1998-07-09 | 2001-07-19 | Hoiman Hung | In-situ integrated oxide etch process particularly useful for copper dual damascene |
US6323121B1 (en) * | 2000-05-12 | 2001-11-27 | Taiwan Semiconductor Manufacturing Company | Fully dry post-via-etch cleaning method for a damascene process |
US6355571B1 (en) * | 1998-11-17 | 2002-03-12 | Applied Materials, Inc. | Method and apparatus for reducing copper oxidation and contamination in a semiconductor device |
US20020058397A1 (en) * | 2000-11-15 | 2002-05-16 | Smith Patricia B. | Hydrogen plasma photoresist strip and polymeric residue cleanup process for low dielectric constant materials |
US20020113037A1 (en) * | 2001-02-21 | 2002-08-22 | Chih-Ning Wu | Method for removing etching residues |
US20020142622A1 (en) * | 2001-03-28 | 2002-10-03 | Kabushiki Kaisha Toshiba | Method of manufacturing semiconductor device having buried metal wiring |
US20020185671A1 (en) * | 2001-06-12 | 2002-12-12 | Kim Si Bum | Semiconductor device having a metal insulator metal capacitor |
US6562416B2 (en) * | 2001-05-02 | 2003-05-13 | Advanced Micro Devices, Inc. | Method of forming low resistance vias |
US20030134231A1 (en) * | 2002-01-15 | 2003-07-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bi-layer photoresist dry development and reactive ion etch method |
US20030181041A1 (en) * | 2000-09-07 | 2003-09-25 | Kabushiki Kaisha Toshiba | Semiconductor device and method of manufacturing the same |
US6635185B2 (en) * | 1997-12-31 | 2003-10-21 | Alliedsignal Inc. | Method of etching and cleaning using fluorinated carbonyl compounds |
US20040018715A1 (en) * | 2002-07-25 | 2004-01-29 | Applied Materials, Inc. | Method of cleaning a surface of a material layer |
US6784109B2 (en) * | 2001-07-06 | 2004-08-31 | Hitachi, Ltd. | Method for fabricating semiconductor devices including wiring forming with a porous low-k film and copper |
US7098139B2 (en) * | 2003-02-17 | 2006-08-29 | Renesas Technology Corp. | Method of manufacturing a semiconductor device with copper wiring treated in a plasma discharge |
Family Cites Families (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3380846B2 (en) | 1997-11-05 | 2003-02-24 | 松下電器産業株式会社 | Method for manufacturing semiconductor device |
US5968847A (en) * | 1998-03-13 | 1999-10-19 | Applied Materials, Inc. | Process for copper etch back |
US6974766B1 (en) | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
JP2001023985A (en) * | 1999-07-08 | 2001-01-26 | Ebara Corp | Interconnection structure and preparation thereof |
JP3783488B2 (en) | 1999-10-18 | 2006-06-07 | ソニー株式会社 | Manufacturing method of semiconductor device |
CN1330395A (en) * | 2000-06-27 | 2002-01-09 | 茂德科技股份有限公司 | Removal of residual substances after photoresist removal |
US6352921B1 (en) * | 2000-07-19 | 2002-03-05 | Chartered Semiconductor Manufacturing Ltd. | Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization |
KR100617076B1 (en) | 2000-12-29 | 2006-08-30 | 매그나칩 반도체 유한회사 | Dual damascene formation method |
JP2003109955A (en) * | 2001-10-01 | 2003-04-11 | Toshiba Corp | Semiconductor device and its fabricating method |
-
2003
- 2003-02-17 JP JP2003038320A patent/JP2004247675A/en active Pending
- 2003-06-19 US US10/464,790 patent/US7098139B2/en not_active Expired - Lifetime
- 2003-08-05 TW TW092121363A patent/TWI240366B/en not_active IP Right Cessation
- 2003-09-04 DE DE10340848A patent/DE10340848A1/en not_active Withdrawn
- 2003-09-23 KR KR1020030065936A patent/KR100597155B1/en not_active Expired - Fee Related
- 2003-09-23 CN CNA2007101427540A patent/CN101179048A/en active Pending
- 2003-09-23 CN CNB031249876A patent/CN100343975C/en not_active Expired - Fee Related
- 2003-09-23 CN CNA2007100040418A patent/CN1992200A/en active Pending
-
2006
- 2006-07-20 US US11/489,471 patent/US7462565B2/en not_active Expired - Lifetime
-
2008
- 2008-11-06 US US12/265,763 patent/US20090075479A1/en not_active Abandoned
Patent Citations (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4209356A (en) * | 1978-10-18 | 1980-06-24 | General Electric Company | Selective etching of polymeric materials embodying silicones via reactor plasmas |
US4588641A (en) * | 1983-11-22 | 1986-05-13 | Olin Corporation | Three-step plasma treatment of copper foils to enhance their laminate adhesion |
US5244535A (en) * | 1991-03-15 | 1993-09-14 | Texas Instruments Incorporated | Method of manufacturing a semiconductor device including plasma treatment of contact holes |
US5892286A (en) * | 1996-06-26 | 1999-04-06 | Mitsubishi Denki Kabushiki Kaisha | Semiconductor device and manufacturing method thereof |
US6143658A (en) * | 1996-12-12 | 2000-11-07 | Lucent Technologies Inc. | Multilevel wiring structure and method of fabricating a multilevel wiring structure |
US6057247A (en) * | 1997-10-29 | 2000-05-02 | Matsushita Electronics Corporation | Method for fabricating semiconductor device and method for controlling environment inside reaction chamber of dry etching apparatus |
US6635185B2 (en) * | 1997-12-31 | 2003-10-21 | Alliedsignal Inc. | Method of etching and cleaning using fluorinated carbonyl compounds |
US6174796B1 (en) * | 1998-01-30 | 2001-01-16 | Fujitsu Limited | Semiconductor device manufacturing method |
US20010008226A1 (en) * | 1998-07-09 | 2001-07-19 | Hoiman Hung | In-situ integrated oxide etch process particularly useful for copper dual damascene |
US6355571B1 (en) * | 1998-11-17 | 2002-03-12 | Applied Materials, Inc. | Method and apparatus for reducing copper oxidation and contamination in a semiconductor device |
US20040046260A1 (en) * | 1998-11-17 | 2004-03-11 | Applied Materials, Inc. | Plasma treatment for copper oxide reduction |
US6162733A (en) * | 1999-01-15 | 2000-12-19 | Lucent Technologies Inc. | Method for removing contaminants from integrated circuits |
US6204192B1 (en) * | 1999-03-29 | 2001-03-20 | Lsi Logic Corporation | Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures |
US6323121B1 (en) * | 2000-05-12 | 2001-11-27 | Taiwan Semiconductor Manufacturing Company | Fully dry post-via-etch cleaning method for a damascene process |
US20030181041A1 (en) * | 2000-09-07 | 2003-09-25 | Kabushiki Kaisha Toshiba | Semiconductor device and method of manufacturing the same |
US20020058397A1 (en) * | 2000-11-15 | 2002-05-16 | Smith Patricia B. | Hydrogen plasma photoresist strip and polymeric residue cleanup process for low dielectric constant materials |
US20020113037A1 (en) * | 2001-02-21 | 2002-08-22 | Chih-Ning Wu | Method for removing etching residues |
US20020142622A1 (en) * | 2001-03-28 | 2002-10-03 | Kabushiki Kaisha Toshiba | Method of manufacturing semiconductor device having buried metal wiring |
US6562416B2 (en) * | 2001-05-02 | 2003-05-13 | Advanced Micro Devices, Inc. | Method of forming low resistance vias |
US20020185671A1 (en) * | 2001-06-12 | 2002-12-12 | Kim Si Bum | Semiconductor device having a metal insulator metal capacitor |
US6784109B2 (en) * | 2001-07-06 | 2004-08-31 | Hitachi, Ltd. | Method for fabricating semiconductor devices including wiring forming with a porous low-k film and copper |
US20030134231A1 (en) * | 2002-01-15 | 2003-07-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bi-layer photoresist dry development and reactive ion etch method |
US20040018715A1 (en) * | 2002-07-25 | 2004-01-29 | Applied Materials, Inc. | Method of cleaning a surface of a material layer |
US7098139B2 (en) * | 2003-02-17 | 2006-08-29 | Renesas Technology Corp. | Method of manufacturing a semiconductor device with copper wiring treated in a plasma discharge |
Also Published As
Publication number | Publication date |
---|---|
KR20040074899A (en) | 2004-08-26 |
JP2004247675A (en) | 2004-09-02 |
DE10340848A1 (en) | 2004-08-26 |
TW200416945A (en) | 2004-09-01 |
KR100597155B1 (en) | 2006-07-05 |
US7098139B2 (en) | 2006-08-29 |
CN1992200A (en) | 2007-07-04 |
CN100343975C (en) | 2007-10-17 |
TWI240366B (en) | 2005-09-21 |
CN1523656A (en) | 2004-08-25 |
CN101179048A (en) | 2008-05-14 |
US7462565B2 (en) | 2008-12-09 |
US20040161942A1 (en) | 2004-08-19 |
US20060258160A1 (en) | 2006-11-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7462565B2 (en) | Method of manufacturing semiconductor device | |
JP6921990B2 (en) | Pre-cleaning and deposition methods for superconductor interconnection | |
KR100516337B1 (en) | Semiconductor device and manufacturing method thereof | |
US6949450B2 (en) | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber | |
US7799693B2 (en) | Method for manufacturing a semiconductor device | |
EP1872395A2 (en) | A method of manufacturing a semiconductor device | |
JP2001168075A (en) | Method of pre-cleaning dielectric layer of substrate | |
KR100414506B1 (en) | Dry etching method and method of manufacturing a semiconductor device | |
US7232763B2 (en) | Method of manufacturing semiconductor device | |
KR101671316B1 (en) | Substrate processing method and storage medium | |
KR19990077239A (en) | Manufacturing method of semiconductor device | |
US7253124B2 (en) | Process for defect reduction in electrochemical plating | |
TW507286B (en) | Method and apparatus for fabricating semiconductor devices | |
US6881661B2 (en) | Manufacturing method of semiconductor device | |
KR100670618B1 (en) | Sequential Sputtering and Reactive Precleaning of Vias and Contacts | |
KR19990072296A (en) | Method and structure for contact to copper metallization in an insulating via on a semiconductor | |
US20130074769A1 (en) | Apparatus for the deposition of a conformal film on a substrate and methods therefor | |
JP2008085297A (en) | Method of manufacturing semiconductor device | |
US20040192051A1 (en) | Method of forming a damascene structure | |
US10381264B2 (en) | Process for producing connections to an electronic chip | |
JP2000277611A (en) | Manufacture of semiconductor device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: RENESAS ELECTRONICS CORPORATION, JAPAN Free format text: CHANGE OF NAME;ASSIGNOR:RENESAS TECHNOLOGY CORP.;REEL/FRAME:024953/0224 Effective date: 20100401 |
|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |