+

US20060076244A1 - Barrier enhancement process for copper interconnects - Google Patents

Barrier enhancement process for copper interconnects Download PDF

Info

Publication number
US20060076244A1
US20060076244A1 US11/289,998 US28999805A US2006076244A1 US 20060076244 A1 US20060076244 A1 US 20060076244A1 US 28999805 A US28999805 A US 28999805A US 2006076244 A1 US2006076244 A1 US 2006076244A1
Authority
US
United States
Prior art keywords
enhancement layer
layer
barrier
copper
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/289,998
Inventor
Chiu Ting
Igor Ivanov
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mattson Technology Inc
Original Assignee
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Technology Inc filed Critical Mattson Technology Inc
Priority to US11/289,998 priority Critical patent/US20060076244A1/en
Publication of US20060076244A1 publication Critical patent/US20060076244A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • This invention relates to an electrochemical deposition process for depositing a thin film enhancement layer onto an existing ultra thin barrier layer to repair defects and enhance the barrier properties of the barrier layer.
  • the deposited thin film enhancement layer serves as a barrier layer and as a seed layer for subsequent copper plating processes.
  • Metallization patterns are needed to interconnect numerous devices to form integrated circuits.
  • ULSI ultra large scale integration
  • six or more metallization layers are commonly used. The number of layers is expected to increase as the industry works to decrease device dimensions and pack more devices onto integrated circuit chips.
  • Integrated circuit chip performance is limited by the signal propagation delay of the interconnections, also known as the “RC” delay.
  • the R the resistance
  • the C the capacitance
  • copper metallization has been introduced to replace aluminum metallization in integrated circuit fabrication because copper has both a lower resistivity and a higher current carrying capacity than aluminum.
  • Copper metallization requires different processing than aluminum metallization.
  • copper interconnects usually are formed using a damascene process.
  • a damascene process the conductor pattern is first etched into the dielectric material. Then, the etched patterns are filled with copper. Excess copper then is removed from over the field using a chemical mechanical polishing (“CMP”) step.
  • CMP chemical mechanical polishing
  • a via-hole is used to connect different metallization layers formed in the integrated circuit chip.
  • the process is generally referred to as a “single damascene” process.
  • both the conductor line and the via-hole pattern are filled at the same time, the process is generally referred to as a “dual damascene” process.
  • barrier layer In the known damascene process, a barrier layer and then a seed layer are deposited over the patterned dielectric layer surface before copper is introduced.
  • the barrier layer is needed to prevent the copper from diffusing into the device region. When in contact with silicon, copper spoils the silicon device operation.
  • thin refractory metals or metal nitrides are selected for the barrier layer.
  • Representative barrier layer materials include tantalum, tantalum nitride, tungsten, tungsten nitride, titanium and titanium nitride.
  • the seed layer is needed to provide the conductivity for the electrochemical deposition reaction and to provide nucleation sites for the subsequent copper electroplating.
  • a thin copper layer is deposited over the barrier layer to serve as the seed layer.
  • Electroplating processes are generally used to deposit copper because such processes have better gap filling capability as compared to physical vapor deposition (“PVD”) or chemical vapor deposition (“CVD”). Because electrochemical copper deposition processes can deposit more copper inside small trenches than outside the trenches, they are frequently called “super-filling.”
  • the PVD techniques include, for example, various evaporation and sputtering techniques, such as DC and/or RF plasma sputtering, bias sputtering, magnetron sputtering, ion plating, or ionized metal plasma sputtering. PVD processes generally produce non-conformal deposition due to their anisotropic and directional nature.
  • the CVD techniques include, for example, thermal CVD, plasma enhanced CVD, low pressure CVD, high pressure CVD, and metal-organo CVD. CVD processes most frequently produce conformal deposition with substantially uniform thickness over the entire surface, including over the field and the bottom and sidewall surfaces of the openings.
  • the barrier and seed layers are deposited primarily by PVD processes, such as sputtering and ionized sputtering. Frequently, the barrier and seed layers are deposited sequentially in two different vacuum chambers without breaking vacuum to avoid surface contamination.
  • the critical factor in such deposition processes is the film thickness inside the etched patterns, particularly on the sidewall and bottom of etched lines or trenches and via holes.
  • the PVD processes commonly form thinner film layers in these etched patterns than over the flat field region of the dielectric material. The step coverage of these layers has been problematic. The films must be continuous and defect free. A void or defect in the barrier layer will compromise the integrity of the device. A void or defect in the seed layer will lead to a void or defect in the plated copper film.
  • CVD processes have been tried for depositing the barrier and seed layers.
  • the CVD processes have not yielded better results than the PVD processes, and CVD processes are more expensive.
  • Copper seed layers deposited by CVD processes usually have poor adhesion, higher impurities and poor crystal orientation, leading to problems when additional copper is electrochemically deposited over such seed layers.
  • PVD is used in conjunction with CVD, such that a separate copper seed layer is deposited by PVD processing over a copper seed layer deposited by CVD, further adding to the expense for CVD processing. Accordingly, PVD processing for barrier and seed layers for copper interconnects has remained preferred despite noted difficulties with step coverage.
  • Improvements to PVD deposition technology may not suffice to solve problems with film coverage for the barrier layers and seed layers deposited by PVD.
  • the barrier film layer on the trench sidewall will need to be less than 10 nanometers. Combined technologies may be required to meet the more rigorous requirements.
  • U.S. Pat. No. 6,136,707 teaches a method of combining a first copper seed layer formed by CVD with a second copper seed layer formed by PVD.
  • U.S. Pat. No. 6,197,181 discloses a method of combining a first copper seed layer electrolytically deposited from an alkaline plating solution with a second copper seed layer formed by PVD. Both of these patents thus require additional processing steps to achieve better PVD copper seed layer adhesion. However, the methods disclosed in these patents do not solve the problems caused by either a defective barrier layer or a poor interface between the barrier layer and the copper seed layer.
  • the invention comprises processes and apparatus for applying a metal to a microelectronic workpiece where the microelectronic workpiece includes a surface in which are disposed one or more micro-recessed structures.
  • the microelectronic workpiece is a semiconductor wafer, such as a silicon or gallium arsenide semiconductor wafer.
  • the metal is copper applied to form metallization layers in trenches or holes or vias or other structures in the semiconductor wafer using a damascene or dual damascene process.
  • the steps comprise:
  • the enhancement layer is formed to a thickness of 100 ⁇ or less, most preferably from 10 ⁇ to 100 ⁇ , using an electrochemical deposition process, such as an electroless or an electroplating process.
  • the enhancement layer may be formed using a CVD or PVD process.
  • the enhancement layer is formed from a copper alloy, such as Cu—Al, Cu—Mg and/or Cu—Zn.
  • the enhancement layer is formed from a binary alloy composition, such as Co—P, or a tertiary alloy composition, such as Co—W—P.
  • the enhancement layer conformally covers the barrier layer, even where the barrier layer has seams, discontinuities or grain boundary defects.
  • the barrier layer may be titanium, titanium nitride, or other known barrier layer materials.
  • the enhancement layer is conductive sufficient to permit deposition of a metal, preferably copper, thereon. Thereafter, excess metal is removed from the field surface, such as by chemical mechanical polishing. The deposited metal remains within the microelectronic structure forming the desired interconnect or metallization layer.
  • process steps comprise:
  • the seed layer may comprise a further layer of a metal alloy or may comprise a layer of the metal intended to be deposited in the microelectronic structure.
  • the seed layer may be a copper alloy, a binary alloy such as Co—P, or a tertiary alloy such as Co—W—P.
  • the seed layer is formed with a thickness preferably from 50 ⁇ to 500 ⁇ .
  • the damascene processes may be carried out in a manufacturing line including a plurality of apparatus for the manufacture of microelectronic circuits or components, where one or more apparatus of the plurality of apparatus are used to apply interconnect metallization in a damascene process to a surface of a microelectronic workpiece used to form the microelectronic circuits or components.
  • the microelectronic workpiece preferably is a silicon or gallium arsenide semiconductor wafer into which has been formed holes or trenches or vias suited for metallization to form microelectronic circuits or components.
  • the one or more apparatus comprise:
  • barrier layer means for applying a barrier layer to a surface of the microelectronic workpiece using a first deposition process, wherein the barrier layer is generally unsuitable for bulk electrochemical deposition of the interconnect metallization;
  • the enhancement layer formed from an alloy composition that is generally suitable for subsequent electrochemical application of a metal to a predetermined thickness representing a bulk portion of the interconnect metallization;
  • the means for applying the enhancement layer is equipment for electrochemical deposition, such as equipment for electroless or electroplating processing.
  • the means for applying the enhancement layer may be equipment for CVD or PVD processing.
  • the means for applying the enhancement layer is capable of applying the enhancement layer conformally over the barrier layer to a thickness of 100 ⁇ or less, preferably from 10 ⁇ to 100 ⁇ thick.
  • the enhancement layer preferably is formed from a metal alloy, such as a copper alloy like Cu—Al, Cu—Mg and/or Cu—Zn, a binary alloy such as Co—P, or a tertiary alloy such as Co—W—P, or possibly even from mixtures of such alloys.
  • the means for electrochemical application of a metal over the enhancement layer is capable of applying copper as the metal in the damascene process.
  • a means is provided for removing a portion of the copper metal from the field surface of the microelectronic workpiece.
  • the means for removing a portion of the copper metal comprises chemical mechanical polishing equipment.
  • the apparatus may include a first chamber for applying the barrier layer and a second chamber for applying the enhancement layer.
  • the optional additional seed layer and the copper metallization layer may be deposited onto the workpiece while the workpiece is in the second chamber used to apply the enhancement layer.
  • electrochemical deposition of the enhancement layer, the optional seed layer, and the copper metal may be carried out in a single chamber in the apparatus.
  • FIG. 1A is a cross-sectional view illustrating a silicon semiconductor wafer that has been etched to form a dielectric pattern trench;
  • FIG. 1B is a cross-sectional view illustrating the silicon semiconductor wafer with a trench wherein a thin barrier layer, such as tantalum or tantalum nitride, is shown as deposited uniformly over the surface;
  • a thin barrier layer such as tantalum or tantalum nitride
  • FIG. 2 is a cross-sectional view of a silicon semiconductor wafer with a trench that has been coated with a thin barrier layer, and illustrating surface defects most commonly formed in the thin barrier layer;
  • FIG. 2A is an enlarged cross-sectional view of the coated silicon semiconductor wafer trench of FIG. 2 ;
  • FIG. 3 is a cross-sectional view of the silicon semiconductor wafer with a trench that has been coated first with a thin barrier layer, and then with a barrier enhancement layer according to the invention
  • FIG. 4 is a cross-sectional view of the silicon semiconductor wafer of FIG. 3 , wherein the trench has been filled with copper using an electrochemical deposition method;
  • FIG. 5 is a cross-sectional view of the silicon semiconductor wafer of FIG. 4 after the surface has been polished to remove excess copper, leaving a completed damascened conductor pattern;
  • FIG. 6 is a cross-sectional view of an alternate embodiment wherein the silicon semiconductor wafer has a completed damascened conductor pattern, and wherein a copper seed layer has been deposited over the barrier enhancement layer before the trench was filled with copper;
  • FIG. 7 is a graph of the deposition rate of Co—W—P alloy barrier enhancement film over a barrier layer at 75° C. in angstroms versus time in minutes.
  • a silicon dielectric material 10 such as SiO 2 , comprises a semiconductor wafer shown in enlarged partial cross-sectional view.
  • the dielectric material 10 has a trench 12 formed therein.
  • the surface of the dielectric material 10 is coated with a thin barrier layer 14 , preferably using a PVD process although a CVD process may also be used.
  • the barrier layer generally may be a thin refractory metal or metal nitride.
  • Representative barrier layer materials include tantalum, tantalum nitride, tantalum silicon nitride, tungsten, tungsten nitride, tungsten silicon nitride, titanium, titanium nitride and titanium silicon nitride, and other tertiary nitrides.
  • the barrier layer 14 is formed as a continuous layer or film without discontinuities or surface defects. This is the ideal surface coverage for such a barrier layer.
  • the barrier layer thickness is generally from 100 ⁇ to 500 ⁇ over the field and flat bottom surfaces within the trench, and depending upon the aspect ratio and opening size of the trench, 100 ⁇ or less over the trench sidewall. For very small openings with large depths, the deposited film on the sidewall can be too thin, resulting in discontinuities and surface defects.
  • the barrier layer 16 formed over the dielectric material 10 is shown to have surface coverage defects within the trench 12 .
  • the barrier layer 16 has not smoothly covered the trench sidewall and flat bottom surface. Seams 18 are left in the bottom corners where the barrier layer has not covered the dielectric material. Discontinuities 20 are breaks in the coverage along the sidewalls. Grain boundaries 22 represent surface defects that inhibit proper adhesion of a subsequent copper seed layer to be formed over the barrier layer in the known damascene process.
  • the barrier layer Most of the failures in the barrier layer relate to copper diffusion at the grain boundaries because grain boundary diffusion is much faster than the diffusion through the bulk. It has been proposed to “stuff” the grain boundaries to improve the barrier properties of the barrier layer with grain boundary defects.
  • TiN barrier layers are usually annealed in an oxygen atmosphere to “stuff” the oxygen at the grain boundaries.
  • Another method to reduce the diffusion at the grain boundaries is to add other materials to the original barrier metal to form alloys. The added material usually concentrates at the grain boundaries (also called segregation). Alloy composition can be adjusted to satisfy different requirements.
  • copper alloys such as Cu—Sn, Cu—Zn, Cu—Mg or Cu—Al can be used as diffusion barriers for copper.
  • the added metal in the alloy usually concentrates on the grain boundary surface or free surface and prevents the copper atoms from moving.
  • Cu—Sn and Cu—Zn are known to slow the corrosion of Cu in air by preventing oxygen diffusion.
  • Cu—Al has been studied as a diffusion barrier for copper because Al tends to segregate out at the grain boundaries and at the surface.
  • a barrier enhancement layer 24 is deposited conformally over the barrier layer 16 , using either a CVD process, a PVD process or an electrochemical process.
  • An electrochemical process or a CVD process are preferred.
  • An electrochemical deposition process, such as electroless and electroplating processes, are most preferred.
  • the barrier enhancement layer is from 10 ⁇ to 100 ⁇ thick, and covers the defects, such as the seams 18 , the discontinuities 20 , and the grain boundaries 22 present in the barrier layer 16 .
  • the barrier enhancement layer has good step coverage.
  • the barrier enhancement layer 24 is intended both to enhance the performance of the diffusion barrier layer and to serve as a seed layer for subsequent copper plating processing. Thus, depositing the barrier enhancement layer can eliminate the need for a separate copper seed layer.
  • the barrier enhancement layer is formed from a conductive metal that will adhere to the barrier layer and will also permit subsequent copper plating.
  • the barrier enhancement layer is formed from a binary or tertiary metal alloy material selected from one of the following: cobalt-phosphorous (Co—P) or cobalt-tungsten-phosphorous (Co—W—P); or is formed from a copper alloy, such as Cu—Al, Cu—Mg, Cu—Zn and/or Cu—Sn, or possibly mixtures of such alloys.
  • the alloy material deposited as the barrier enhancement layer is Co—W—P.
  • Electrochemical deposition processes for Co—W—P are described in detail in U.S. Pat. No. 5,695,810, which description is incorporated herein by reference. Typical deposition temperatures for this alloy range from room temperature to 90° C. However, at 90° C., the loss of aqueous electrolyte by evaporation may be excessive, such that a lower temperature, such as 75° C. is preferred.
  • the thickness of the deposited Co—W—P layer can be controlled by controlling the deposition time and temperature for a given deposition chemistry.
  • Co—W—P alloy material deposits over a TiN barrier layer at a rate of about 100 ⁇ to 200 ⁇ per minute at 75° C. in an electrochemical deposition process as graphically illustrated in FIG. 6 .
  • the electrochemical deposition processes are preferred for depositing the barrier enhancement layer. Such processes are compatible with the standard copper plating process and equipment already in use in copper interconnect fabrication.
  • the new electrochemical deposition process for the barrier enhancement layer therefore can readily be integrated with existing plating tools by installing a new process chamber in the existing system.
  • a suitable integrated tool configuration is shown in FIG. 12 in U.S. Pat. No. 6,017,437. The integrated tool configuration reduces tooling costs and permits a simple wafer processing flow sequence. After the barrier enhancement layer is deposited, the wafer can be transferred directly to the copper plating module to complete the plating process without leaving the plating tool.
  • the etched pattern is filled with electroplated copper as shown in FIG. 4 .
  • the field surface is polished, preferably by a chemical mechanical polishing (“CMP”) step, to remove the excess copper.
  • CMP chemical mechanical polishing
  • two separate layers may be deposited onto the barrier layer.
  • the enlarged cross-sectional view of the dielectric wafer material 10 has a trench 12 formed therein.
  • a barrier layer 16 is deposited over flat bottom and sidewall surfaces of the trench, and has grain boundaries, seams and discontinuities therein as noted in the prior embodiment.
  • the barrier enhancement layer 24 is again applied over the barrier layer 16 .
  • a seed layer 28 is formed over the barrier enhancement layer 24 .
  • the seed layer 28 may be formed as an alloy, such as used to form the barrier enhancement layer 24 or may be copper metal.
  • the seed layer may be deposited by a CVD, PVD or electrochemical deposition process, the electrochemical deposition processes are preferred. Moreover, it is more economical to deposit the barrier enhancement layer and the seed layer using compatible deposition processes, and preferably in the same tool.
  • a single barrier enhancement layer was deposited over a TiN barrier layer.
  • the TiN barrier layer was sputtered over a silicon dioxide dielectric material.
  • the TiN barrier layer surface was then cleaned and rinsed.
  • a thin electroless Co—W—P layer was then deposited over the TiN barrier layer.
  • the electrolyte used for deposition consisted of:
  • the deposition temperature was 75° C. and deposition time was about one minute.
  • the deposited film (about 100 ⁇ ) had good diffusion properties and was used successfully as the seed layer for subsequent copper plating.
  • a sputtered tantalum barrier layer was applied to the silicon dioxide dielectric substrate. Because direct deposition of Co—W—P onto tantalum is known to have marginal adhesion, a thin layer (about 100 ⁇ ) of cobalt was sputtered onto the tantalum surface. Then, a layer of Co—W—P was deposited by electroless deposition onto the sputtered Co surface at 75° C. for about one minute. The combined film (approximately 200 ⁇ ) resulted in satisfactory adhesion. Copper was then directly electroplated onto the Co—W—P layer. In this example, the Co layer was the barrier enhancement layer and the Co—W—P was the seed layer for copper plating.
  • This example illustrates that according to the second embodiment of the invention: (1) two different layers may be used—a barrier enhancement layer and a seed layer; and (2) different deposition techniques were used for depositing the barrier enhancement layer and the seed layer.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

A damascene process for introducing copper into metallization layers in microelectronic structures includes a step of forming an enhancement layer of a metal alloy, such as a copper alloy or Co—W—P, over the barrier layer, using PVD, CVD or electrochemical deposition prior to electrochemically depositing copper metallization. The enhancement layer has a thickness from 10 Å to 100 Å and conformally covers the discontinuities, seams and grain boundary defects in the barrier layer. The enhancement layer provides a conductive surface onto which a metal layer, such as copper metallization, may be applied with electrochemical deposition. Alternatively, a seed layer may be deposited over the enhancement layer prior to copper metallization.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application claims priority from U.S. Provisional Application Ser. No. 60/298,138, filed Jul. 25, 2001.
  • BACKGROUND OF THE INVENTION
  • This invention relates to an electrochemical deposition process for depositing a thin film enhancement layer onto an existing ultra thin barrier layer to repair defects and enhance the barrier properties of the barrier layer. The deposited thin film enhancement layer serves as a barrier layer and as a seed layer for subsequent copper plating processes.
  • Metallization patterns are needed to interconnect numerous devices to form integrated circuits. For high performance ultra large scale integration (ULSI) chips, six or more metallization layers are commonly used. The number of layers is expected to increase as the industry works to decrease device dimensions and pack more devices onto integrated circuit chips.
  • Integrated circuit chip performance is limited by the signal propagation delay of the interconnections, also known as the “RC” delay. In order to improve circuit speed, it is important to reduce both the R (the resistance) and the C (the capacitance) associated with the interconnections. Recently, copper metallization has been introduced to replace aluminum metallization in integrated circuit fabrication because copper has both a lower resistivity and a higher current carrying capacity than aluminum.
  • Copper metallization requires different processing than aluminum metallization. Instead of metal deposition followed by patterning as used in forming aluminum interconnects, copper interconnects usually are formed using a damascene process. In a damascene process, the conductor pattern is first etched into the dielectric material. Then, the etched patterns are filled with copper. Excess copper then is removed from over the field using a chemical mechanical polishing (“CMP”) step. A via-hole is used to connect different metallization layers formed in the integrated circuit chip. When the conductor line pattern and via-hole pattern are filled and polished separately, the process is generally referred to as a “single damascene” process. When both the conductor line and the via-hole pattern are filled at the same time, the process is generally referred to as a “dual damascene” process.
  • In the known damascene process, a barrier layer and then a seed layer are deposited over the patterned dielectric layer surface before copper is introduced. The barrier layer is needed to prevent the copper from diffusing into the device region. When in contact with silicon, copper spoils the silicon device operation. Usually, thin refractory metals or metal nitrides are selected for the barrier layer. Representative barrier layer materials include tantalum, tantalum nitride, tungsten, tungsten nitride, titanium and titanium nitride. The seed layer is needed to provide the conductivity for the electrochemical deposition reaction and to provide nucleation sites for the subsequent copper electroplating. Usually, a thin copper layer is deposited over the barrier layer to serve as the seed layer.
  • One of the most important requirements for the damascene process for copper is to have the deposited copper perfectly fill the small geometries of etched lines or trenches and holes with high aspect ratios (calculated as depth divided by width). Electroplating processes are generally used to deposit copper because such processes have better gap filling capability as compared to physical vapor deposition (“PVD”) or chemical vapor deposition (“CVD”). Because electrochemical copper deposition processes can deposit more copper inside small trenches than outside the trenches, they are frequently called “super-filling.”
  • The PVD techniques include, for example, various evaporation and sputtering techniques, such as DC and/or RF plasma sputtering, bias sputtering, magnetron sputtering, ion plating, or ionized metal plasma sputtering. PVD processes generally produce non-conformal deposition due to their anisotropic and directional nature. The CVD techniques include, for example, thermal CVD, plasma enhanced CVD, low pressure CVD, high pressure CVD, and metal-organo CVD. CVD processes most frequently produce conformal deposition with substantially uniform thickness over the entire surface, including over the field and the bottom and sidewall surfaces of the openings.
  • Currently, the barrier and seed layers are deposited primarily by PVD processes, such as sputtering and ionized sputtering. Frequently, the barrier and seed layers are deposited sequentially in two different vacuum chambers without breaking vacuum to avoid surface contamination. The critical factor in such deposition processes is the film thickness inside the etched patterns, particularly on the sidewall and bottom of etched lines or trenches and via holes. The PVD processes commonly form thinner film layers in these etched patterns than over the flat field region of the dielectric material. The step coverage of these layers has been problematic. The films must be continuous and defect free. A void or defect in the barrier layer will compromise the integrity of the device. A void or defect in the seed layer will lead to a void or defect in the plated copper film.
  • To improve step coverage, CVD processes have been tried for depositing the barrier and seed layers. The CVD processes have not yielded better results than the PVD processes, and CVD processes are more expensive. Copper seed layers deposited by CVD processes usually have poor adhesion, higher impurities and poor crystal orientation, leading to problems when additional copper is electrochemically deposited over such seed layers. Sometimes PVD is used in conjunction with CVD, such that a separate copper seed layer is deposited by PVD processing over a copper seed layer deposited by CVD, further adding to the expense for CVD processing. Accordingly, PVD processing for barrier and seed layers for copper interconnects has remained preferred despite noted difficulties with step coverage.
  • Improvements to PVD deposition technology may not suffice to solve problems with film coverage for the barrier layers and seed layers deposited by PVD. As device dimensions continue to decrease, in the future the barrier film layer on the trench sidewall will need to be less than 10 nanometers. Combined technologies may be required to meet the more rigorous requirements.
  • U.S. Pat. No. 6,136,707 teaches a method of combining a first copper seed layer formed by CVD with a second copper seed layer formed by PVD. U.S. Pat. No. 6,197,181 discloses a method of combining a first copper seed layer electrolytically deposited from an alkaline plating solution with a second copper seed layer formed by PVD. Both of these patents thus require additional processing steps to achieve better PVD copper seed layer adhesion. However, the methods disclosed in these patents do not solve the problems caused by either a defective barrier layer or a poor interface between the barrier layer and the copper seed layer.
  • Accordingly, the industry seeks better methods for electrochemically depositing copper into high aspect ratio holes and trenches.
  • SUMMARY OF THE INVENTION
  • The invention comprises processes and apparatus for applying a metal to a microelectronic workpiece where the microelectronic workpiece includes a surface in which are disposed one or more micro-recessed structures. Most commonly, the microelectronic workpiece is a semiconductor wafer, such as a silicon or gallium arsenide semiconductor wafer. Preferably, the metal is copper applied to form metallization layers in trenches or holes or vias or other structures in the semiconductor wafer using a damascene or dual damascene process.
  • In the process according to the invention, the steps comprise:
      • (a) forming a barrier layer on the surface of the microelectronic workpiece, including on the walls of the micro-recessed structures;
      • (b) forming an enhancement layer over the barrier layer, wherein said enhancement layer is comprised of a metal alloy; and
      • (c) electroplating a metal onto the enhancement layer so as to fill the micro-recessed structure.
  • Preferably, the enhancement layer is formed to a thickness of 100 Å or less, most preferably from 10 Å to 100 Å, using an electrochemical deposition process, such as an electroless or an electroplating process. Alternatively, the enhancement layer may be formed using a CVD or PVD process.
  • In one embodiment, the enhancement layer is formed from a copper alloy, such as Cu—Al, Cu—Mg and/or Cu—Zn. In another embodiment, the enhancement layer is formed from a binary alloy composition, such as Co—P, or a tertiary alloy composition, such as Co—W—P.
  • The enhancement layer conformally covers the barrier layer, even where the barrier layer has seams, discontinuities or grain boundary defects. For a silicon semiconductor wafer, the barrier layer may be titanium, titanium nitride, or other known barrier layer materials. The enhancement layer is conductive sufficient to permit deposition of a metal, preferably copper, thereon. Thereafter, excess metal is removed from the field surface, such as by chemical mechanical polishing. The deposited metal remains within the microelectronic structure forming the desired interconnect or metallization layer.
  • In a further embodiment, the process steps comprise:
      • (a) forming a barrier layer on the surface of the microelectronic workpiece, including on the walls of the micro-recessed structures;
      • (b) forming an enhancement layer of a metal alloy over the barrier layer;
      • (c) forming a seed layer over the enhancement layer; and
      • (d) electroplating a metal onto the enhancement layer so as to fill the micro-recessed structure.
  • In this alternate embodiment, the seed layer may comprise a further layer of a metal alloy or may comprise a layer of the metal intended to be deposited in the microelectronic structure. Thus, the seed layer may be a copper alloy, a binary alloy such as Co—P, or a tertiary alloy such as Co—W—P. The seed layer is formed with a thickness preferably from 50 Å to 500 Å.
  • The damascene processes may be carried out in a manufacturing line including a plurality of apparatus for the manufacture of microelectronic circuits or components, where one or more apparatus of the plurality of apparatus are used to apply interconnect metallization in a damascene process to a surface of a microelectronic workpiece used to form the microelectronic circuits or components. The microelectronic workpiece preferably is a silicon or gallium arsenide semiconductor wafer into which has been formed holes or trenches or vias suited for metallization to form microelectronic circuits or components. In such case, the one or more apparatus comprise:
  • means for applying a barrier layer to a surface of the microelectronic workpiece using a first deposition process, wherein the barrier layer is generally unsuitable for bulk electrochemical deposition of the interconnect metallization;
  • means for applying an enhancement layer over the barrier layer using a second deposition process, wherein the enhancement layer formed from an alloy composition that is generally suitable for subsequent electrochemical application of a metal to a predetermined thickness representing a bulk portion of the interconnect metallization; and
  • means for electrochemical application of a metal over the enhancement layer.
  • Preferably, the means for applying the enhancement layer is equipment for electrochemical deposition, such as equipment for electroless or electroplating processing. Alternatively, the means for applying the enhancement layer may be equipment for CVD or PVD processing. The means for applying the enhancement layer is capable of applying the enhancement layer conformally over the barrier layer to a thickness of 100 Å or less, preferably from 10 Å to 100 Å thick. The enhancement layer preferably is formed from a metal alloy, such as a copper alloy like Cu—Al, Cu—Mg and/or Cu—Zn, a binary alloy such as Co—P, or a tertiary alloy such as Co—W—P, or possibly even from mixtures of such alloys.
  • The means for electrochemical application of a metal over the enhancement layer is capable of applying copper as the metal in the damascene process. Once the copper is introduced into the metallization layers or microelectronic structures, a means is provided for removing a portion of the copper metal from the field surface of the microelectronic workpiece. Preferably, the means for removing a portion of the copper metal comprises chemical mechanical polishing equipment.
  • The apparatus may include a first chamber for applying the barrier layer and a second chamber for applying the enhancement layer. In addition, the optional additional seed layer and the copper metallization layer may be deposited onto the workpiece while the workpiece is in the second chamber used to apply the enhancement layer. Thus, electrochemical deposition of the enhancement layer, the optional seed layer, and the copper metal may be carried out in a single chamber in the apparatus.
  • DESCRIPTION OF THE FIGURES
  • The invention will be more fully understood by referring to the detailed specification and claims taken in connection with the following drawings.
  • FIG. 1A is a cross-sectional view illustrating a silicon semiconductor wafer that has been etched to form a dielectric pattern trench;
  • FIG. 1B is a cross-sectional view illustrating the silicon semiconductor wafer with a trench wherein a thin barrier layer, such as tantalum or tantalum nitride, is shown as deposited uniformly over the surface;
  • FIG. 2 is a cross-sectional view of a silicon semiconductor wafer with a trench that has been coated with a thin barrier layer, and illustrating surface defects most commonly formed in the thin barrier layer;
  • FIG. 2A is an enlarged cross-sectional view of the coated silicon semiconductor wafer trench of FIG. 2;
  • FIG. 3 is a cross-sectional view of the silicon semiconductor wafer with a trench that has been coated first with a thin barrier layer, and then with a barrier enhancement layer according to the invention;
  • FIG. 4 is a cross-sectional view of the silicon semiconductor wafer of FIG. 3, wherein the trench has been filled with copper using an electrochemical deposition method;
  • FIG. 5 is a cross-sectional view of the silicon semiconductor wafer of FIG. 4 after the surface has been polished to remove excess copper, leaving a completed damascened conductor pattern;
  • FIG. 6 is a cross-sectional view of an alternate embodiment wherein the silicon semiconductor wafer has a completed damascened conductor pattern, and wherein a copper seed layer has been deposited over the barrier enhancement layer before the trench was filled with copper; and
  • FIG. 7 is a graph of the deposition rate of Co—W—P alloy barrier enhancement film over a barrier layer at 75° C. in angstroms versus time in minutes.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Referring first to FIG. 1A, a silicon dielectric material 10, such as SiO2, comprises a semiconductor wafer shown in enlarged partial cross-sectional view. The dielectric material 10 has a trench 12 formed therein.
  • The surface of the dielectric material 10 is coated with a thin barrier layer 14, preferably using a PVD process although a CVD process may also be used. The barrier layer generally may be a thin refractory metal or metal nitride. Representative barrier layer materials include tantalum, tantalum nitride, tantalum silicon nitride, tungsten, tungsten nitride, tungsten silicon nitride, titanium, titanium nitride and titanium silicon nitride, and other tertiary nitrides.
  • As shown in FIG. 1A, the barrier layer 14 is formed as a continuous layer or film without discontinuities or surface defects. This is the ideal surface coverage for such a barrier layer. The barrier layer thickness is generally from 100 Å to 500 Å over the field and flat bottom surfaces within the trench, and depending upon the aspect ratio and opening size of the trench, 100 Å or less over the trench sidewall. For very small openings with large depths, the deposited film on the sidewall can be too thin, resulting in discontinuities and surface defects.
  • Referring next to FIGS. 2 and 2A, the barrier layer 16 formed over the dielectric material 10 is shown to have surface coverage defects within the trench 12. As illustrated in FIG. 2, the barrier layer 16 has not smoothly covered the trench sidewall and flat bottom surface. Seams 18 are left in the bottom corners where the barrier layer has not covered the dielectric material. Discontinuities 20 are breaks in the coverage along the sidewalls. Grain boundaries 22 represent surface defects that inhibit proper adhesion of a subsequent copper seed layer to be formed over the barrier layer in the known damascene process.
  • Most of the failures in the barrier layer relate to copper diffusion at the grain boundaries because grain boundary diffusion is much faster than the diffusion through the bulk. It has been proposed to “stuff” the grain boundaries to improve the barrier properties of the barrier layer with grain boundary defects. For example, TiN barrier layers are usually annealed in an oxygen atmosphere to “stuff” the oxygen at the grain boundaries. Another method to reduce the diffusion at the grain boundaries is to add other materials to the original barrier metal to form alloys. The added material usually concentrates at the grain boundaries (also called segregation). Alloy composition can be adjusted to satisfy different requirements. For example, copper alloys, such as Cu—Sn, Cu—Zn, Cu—Mg or Cu—Al can be used as diffusion barriers for copper. The added metal in the alloy usually concentrates on the grain boundary surface or free surface and prevents the copper atoms from moving. Cu—Sn and Cu—Zn are known to slow the corrosion of Cu in air by preventing oxygen diffusion. Recently, Cu—Al has been studied as a diffusion barrier for copper because Al tends to segregate out at the grain boundaries and at the surface.
  • One of the most difficult issues in depositing a seed layer over the barrier layer is getting good adhesion between the original barrier layer and the seed layer deposited thereon. Plated copper adheres poorly to the barrier layer surface. That is why the seed enhancement layer described in U.S. Pat. No. 6,197,181 was not directly deposited on the barrier layer, but was deposited onto a PVD deposited copper seed layer. A CVD copper seed layer directly deposited onto the barrier layer also has poor adhesion, and a PVD copper seed layer is often used to improve the adhesion of the CVD copper seed layer.
  • According to the invention, as shown in FIG. 3, a barrier enhancement layer 24 is deposited conformally over the barrier layer 16, using either a CVD process, a PVD process or an electrochemical process. An electrochemical process or a CVD process are preferred. An electrochemical deposition process, such as electroless and electroplating processes, are most preferred. The barrier enhancement layer is from 10 Å to 100 Å thick, and covers the defects, such as the seams 18, the discontinuities 20, and the grain boundaries 22 present in the barrier layer 16. The barrier enhancement layer has good step coverage.
  • The barrier enhancement layer 24 is intended both to enhance the performance of the diffusion barrier layer and to serve as a seed layer for subsequent copper plating processing. Thus, depositing the barrier enhancement layer can eliminate the need for a separate copper seed layer.
  • The barrier enhancement layer is formed from a conductive metal that will adhere to the barrier layer and will also permit subsequent copper plating. Preferably, the barrier enhancement layer is formed from a binary or tertiary metal alloy material selected from one of the following: cobalt-phosphorous (Co—P) or cobalt-tungsten-phosphorous (Co—W—P); or is formed from a copper alloy, such as Cu—Al, Cu—Mg, Cu—Zn and/or Cu—Sn, or possibly mixtures of such alloys.
  • Preferably, the alloy material deposited as the barrier enhancement layer is Co—W—P. Electrochemical deposition processes for Co—W—P are described in detail in U.S. Pat. No. 5,695,810, which description is incorporated herein by reference. Typical deposition temperatures for this alloy range from room temperature to 90° C. However, at 90° C., the loss of aqueous electrolyte by evaporation may be excessive, such that a lower temperature, such as 75° C. is preferred. The thickness of the deposited Co—W—P layer can be controlled by controlling the deposition time and temperature for a given deposition chemistry. Co—W—P alloy material deposits over a TiN barrier layer at a rate of about 100 Å to 200 Å per minute at 75° C. in an electrochemical deposition process as graphically illustrated in FIG. 6.
  • The electrochemical deposition processes are preferred for depositing the barrier enhancement layer. Such processes are compatible with the standard copper plating process and equipment already in use in copper interconnect fabrication. The new electrochemical deposition process for the barrier enhancement layer therefore can readily be integrated with existing plating tools by installing a new process chamber in the existing system. A suitable integrated tool configuration is shown in FIG. 12 in U.S. Pat. No. 6,017,437. The integrated tool configuration reduces tooling costs and permits a simple wafer processing flow sequence. After the barrier enhancement layer is deposited, the wafer can be transferred directly to the copper plating module to complete the plating process without leaving the plating tool.
  • After the barrier enhancement layer 24 is applied over the barrier layer 16, the etched pattern is filled with electroplated copper as shown in FIG. 4. Thereafter, the field surface is polished, preferably by a chemical mechanical polishing (“CMP”) step, to remove the excess copper. A completed damascened conductor pattern after the CMP is completed is shown in FIG. 5.
  • In an alternate embodiment, two separate layers may be deposited onto the barrier layer. As shown in FIG. 6, the enlarged cross-sectional view of the dielectric wafer material 10 has a trench 12 formed therein. A barrier layer 16 is deposited over flat bottom and sidewall surfaces of the trench, and has grain boundaries, seams and discontinuities therein as noted in the prior embodiment. The barrier enhancement layer 24 is again applied over the barrier layer 16. Thereafter, a seed layer 28 is formed over the barrier enhancement layer 24. The seed layer 28 may be formed as an alloy, such as used to form the barrier enhancement layer 24 or may be copper metal. Although the seed layer may be deposited by a CVD, PVD or electrochemical deposition process, the electrochemical deposition processes are preferred. Moreover, it is more economical to deposit the barrier enhancement layer and the seed layer using compatible deposition processes, and preferably in the same tool.
  • EXAMPLES Example 1
  • A single barrier enhancement layer was deposited over a TiN barrier layer. The TiN barrier layer was sputtered over a silicon dioxide dielectric material. The TiN barrier layer surface was then cleaned and rinsed. A thin electroless Co—W—P layer was then deposited over the TiN barrier layer. The electrolyte used for deposition consisted of:
      • CoCl×6 H2O 30 g/l
      • (NH4)2WO4 10 g/l
      • Na3C6H5O7×H2O 80 g/l
      • NaH2PO2×H2O 20 g/l
      • KOH to pH=9.5
  • The deposition temperature was 75° C. and deposition time was about one minute. The deposited film (about 100 Å) had good diffusion properties and was used successfully as the seed layer for subsequent copper plating.
  • Example 2
  • A sputtered tantalum barrier layer was applied to the silicon dioxide dielectric substrate. Because direct deposition of Co—W—P onto tantalum is known to have marginal adhesion, a thin layer (about 100 Å) of cobalt was sputtered onto the tantalum surface. Then, a layer of Co—W—P was deposited by electroless deposition onto the sputtered Co surface at 75° C. for about one minute. The combined film (approximately 200 Å) resulted in satisfactory adhesion. Copper was then directly electroplated onto the Co—W—P layer. In this example, the Co layer was the barrier enhancement layer and the Co—W—P was the seed layer for copper plating.
  • This example illustrates that according to the second embodiment of the invention: (1) two different layers may be used—a barrier enhancement layer and a seed layer; and (2) different deposition techniques were used for depositing the barrier enhancement layer and the seed layer.
  • The invention has been illustrated by detailed description and examples of the preferred embodiments. Various changes in form and detail will be within the skill of persons skilled in the art. Therefore, the invention must be measured by the claims and not by the description of the examples or the preferred embodiments.

Claims (29)

1-61. (canceled)
62. A process for applying a metal to a microelectronic work-piece, the microelectronic work-piece including a surface in which are disposed one or more micro-recessed structures, the process comprising:
(a) forming a barrier layer on the surface of the microelectronic work-piece, including on the walls of the micro-recessed structures;
(b) forming a barrier enhancement layer over the barrier layer, wherein said enhancement layer comprises a metal alloy selected from the group consisting of Cu—Al, Cu—Mg, Cu—Zn, Cu—Sn, Co—P and Co—W—P; and
(c) electroplating a metal onto the barrier enhancement layer so as to fill the micro-recessed structure.
63. The process of claim 62, wherein the enhancement layer is formed using an electrochemical deposition process.
64. The process of claim 63, wherein the electrochemical deposition process is selected from the group consisting of electroless and electroplating processes.
65. The process of claim 62, wherein the enhancement layer is formed using a CVD process.
66. The process of claim 62, wherein the enhancement layer is formed using a PVD process.
67. The process of claim 62, wherein the enhancement layer is formed with a thickness of 100 Å or less.
68. The process of claim 62, wherein the enhancement layer is formed with a thickness in the range of from 10 Å to 100 Å thick.
69. The process of claim 62, wherein the barrier layer so formed has seams, discontinuities or grain boundary defects, and wherein the enhancement layer conformally covers the barrier layer.
70. The process of claim 62, wherein the alloy is Co—P.
71. The process of claim 62, wherein the alloy is Co—W—P.
72. The process of claim 62, wherein the metal electroplated onto the enhancement layer is copper.
73. The process of claim 62, further comprising:
(d) removing a portion of the metal from the surface of the microelectronic work-piece.
74. The process of claim 73, wherein the removing is by chemical mechanical polishing.
75. The process of claim 62, wherein the microelectronic work-piece is a silicon or gallium arsenide semiconductor wafer.
76. A process for applying a metal to a microelectronic work-piece, the microelectronic work-piece including a surface in which are disposed one or more micro-recessed structures, the process comprising:
(a) forming a barrier layer on the surface of the microelectronic work-piece, including on the walls of the micro-recessed structures;
(b) forming a barrier enhancement layer of a metal alloy over the barrier layer, wherein said metal alloy is selected from the group consisting of Cu—Al, Cu—Mg, Cu—Zn, Cu—Sn, Co—P and Co—W—P;
(c) forming a seed layer over the enhancement layer; and
(d) electroplating a metal onto the seed layer so as to fill the micro-recessed structure.
77. The process of claim 76, wherein the enhancement layer is formed using an electrochemical deposition process.
78. The process of claim 77, wherein the electrochemical deposition process is selected from the group consisting of electroless and electroplating processes.
79. The process of claim 76, wherein the enhancement layer is formed using a CVD process.
80. The process of claim 76, wherein the enhancement layer is formed using a PVD process.
81. The process of claim 76, wherein the enhancement layer is formed with a thickness of 100 Å or less.
82. The process of claim 76, wherein the enhancement layer is formed with a thickness in the range of from 10 Å to 100 Å thick.
83. The process of claim 76, wherein the barrier layer so formed has seams, discontinuities or grain boundary defects, and wherein the enhancement layer conformally covers the barrier layer.
84. The process of claim 76, wherein the alloy is Co—P.
85. The process of claim 76, wherein the alloy is Co—W—P.
86. The process of claim 76, wherein the metal electroplated onto the enhancement layer is copper.
87. The process of claim 76, further comprising:
(e) removing a portion of the metal from the surface of the microelectronic work-piece.
88. The process of claim 87, wherein the removing is by chemical mechanical polishing.
89. The process of claim 76, wherein the microelectronic work-piece is a silicon or gallium arsenide semiconductor wafer.
US11/289,998 2001-06-14 2005-11-30 Barrier enhancement process for copper interconnects Abandoned US20060076244A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/289,998 US20060076244A1 (en) 2001-06-14 2005-11-30 Barrier enhancement process for copper interconnects

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US29813801P 2001-06-14 2001-06-14
US10/172,767 US20030010645A1 (en) 2001-06-14 2002-06-14 Barrier enhancement process for copper interconnects
US11/289,998 US20060076244A1 (en) 2001-06-14 2005-11-30 Barrier enhancement process for copper interconnects

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/172,767 Continuation US20030010645A1 (en) 2001-06-14 2002-06-14 Barrier enhancement process for copper interconnects

Publications (1)

Publication Number Publication Date
US20060076244A1 true US20060076244A1 (en) 2006-04-13

Family

ID=23149211

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/172,767 Abandoned US20030010645A1 (en) 2001-06-14 2002-06-14 Barrier enhancement process for copper interconnects
US11/289,998 Abandoned US20060076244A1 (en) 2001-06-14 2005-11-30 Barrier enhancement process for copper interconnects

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/172,767 Abandoned US20030010645A1 (en) 2001-06-14 2002-06-14 Barrier enhancement process for copper interconnects

Country Status (5)

Country Link
US (2) US20030010645A1 (en)
JP (1) JP2004533123A (en)
CN (1) CN1516895A (en)
DE (1) DE10296935T5 (en)
WO (1) WO2002103782A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090117731A1 (en) * 2007-11-01 2009-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnection structure and method for making the same
US20110068472A1 (en) * 2005-06-22 2011-03-24 Nec Corporation Semiconductor device
US12119260B2 (en) 2020-02-24 2024-10-15 Changxin Memory Technologies, Inc. Methods for manufacturing semiconductor structures including isolation layer and semiconductor structures including isolation layer

Families Citing this family (197)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
CN101279859B (en) 2003-03-26 2012-01-04 圣戈本陶瓷及塑料股份有限公司 Silicon carbide ceramic components having oxide layer
US20060283716A1 (en) * 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US7193323B2 (en) * 2003-11-18 2007-03-20 International Business Machines Corporation Electroplated CoWP composite structures as copper barrier layers
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
TW200734482A (en) * 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
TW200707640A (en) * 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
US20070071888A1 (en) * 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US20070210448A1 (en) * 2006-03-10 2007-09-13 International Business Machines Corporation Electroless cobalt-containing liner for middle-of-the-line (mol) applications
JP4878518B2 (en) * 2006-07-28 2012-02-15 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US20090127711A1 (en) * 2007-11-15 2009-05-21 International Business Machines Corporation Interconnect structure and method of making same
JP5388191B2 (en) * 2009-05-26 2014-01-15 Jx日鉱日石金属株式会社 Plating object having through silicon via and method for forming the same
US8237191B2 (en) * 2009-08-11 2012-08-07 International Business Machines Corporation Heterojunction bipolar transistors and methods of manufacture
US8373832B2 (en) * 2009-10-27 2013-02-12 Ulvac, Inc. Wiring layer, semiconductor device, and liquid crystal display device using semiconductor device
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102412191B (en) * 2011-05-13 2014-02-05 上海华力微电子有限公司 Transmission electron microscopy sample preparation method capable of detecting Damascus seed crystal layer and barrier layer
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN102496597A (en) * 2011-12-30 2012-06-13 中国科学院宁波材料技术与工程研究所 Forming method for Cu interconnect line diffusion barrier of integrated circuit
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP5725073B2 (en) 2012-10-30 2015-05-27 三菱電機株式会社 Semiconductor device manufacturing method, semiconductor device
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9324606B2 (en) * 2014-01-09 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned repairing process for barrier layer
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
CA3044874C (en) * 2016-12-16 2023-05-16 Haldor Topsoe A/S Deposition of a coating on an interconnect for solid oxide cell stacks
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP7176860B6 (en) 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド Semiconductor processing chamber to improve precursor flow
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
DE102017213631A1 (en) * 2017-08-07 2019-02-07 Robert Bosch Gmbh Micromechanical device and corresponding manufacturing method
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110528041A (en) * 2019-08-13 2019-12-03 广州兴森快捷电路科技有限公司 For the electroplating processing method of wafer, wafer and wiring board
US20220165852A1 (en) * 2020-11-23 2022-05-26 Applied Materials, Inc. Methods and apparatus for metal fill in metal gate stack

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6010960A (en) * 1997-10-29 2000-01-04 Advanced Micro Devices, Inc. Method and system for providing an interconnect having reduced failure rates due to voids
US6174799B1 (en) * 1999-01-05 2001-01-16 Advanced Micro Devices, Inc. Graded compound seed layers for semiconductors
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6562715B1 (en) * 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US6596624B1 (en) * 1999-07-31 2003-07-22 International Business Machines Corporation Process for making low dielectric constant hollow chip structures by removing sacrificial dielectric material after the chip is joined to a chip carrier
US6841477B1 (en) * 1999-08-27 2005-01-11 Fujitsu Limited Metal interconnection, semiconductor device, method for forming metal interconnection and method for fabricating semiconductor device

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5695810A (en) * 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
DE69929607T2 (en) * 1998-06-30 2006-07-27 Semitool, Inc., Kalispell METALIZATION STRUCTURES FOR MICROELECTRONIC APPLICATIONS AND METHOD FOR PRODUCING THESE STRUCTURES
US6740580B1 (en) * 1999-09-03 2004-05-25 Chartered Semiconductor Manufacturing Ltd. Method to form copper interconnects by adding an aluminum layer to the copper diffusion barrier
US6136707A (en) * 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6010960A (en) * 1997-10-29 2000-01-04 Advanced Micro Devices, Inc. Method and system for providing an interconnect having reduced failure rates due to voids
US6174799B1 (en) * 1999-01-05 2001-01-16 Advanced Micro Devices, Inc. Graded compound seed layers for semiconductors
US6596624B1 (en) * 1999-07-31 2003-07-22 International Business Machines Corporation Process for making low dielectric constant hollow chip structures by removing sacrificial dielectric material after the chip is joined to a chip carrier
US6841477B1 (en) * 1999-08-27 2005-01-11 Fujitsu Limited Metal interconnection, semiconductor device, method for forming metal interconnection and method for fabricating semiconductor device
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6562715B1 (en) * 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110068472A1 (en) * 2005-06-22 2011-03-24 Nec Corporation Semiconductor device
US8174122B2 (en) * 2005-06-22 2012-05-08 Nec Corporation Semiconductor device
US20090117731A1 (en) * 2007-11-01 2009-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnection structure and method for making the same
US12119260B2 (en) 2020-02-24 2024-10-15 Changxin Memory Technologies, Inc. Methods for manufacturing semiconductor structures including isolation layer and semiconductor structures including isolation layer

Also Published As

Publication number Publication date
WO2002103782A2 (en) 2002-12-27
WO2002103782A3 (en) 2003-10-16
CN1516895A (en) 2004-07-28
DE10296935T5 (en) 2004-04-22
US20030010645A1 (en) 2003-01-16
JP2004533123A (en) 2004-10-28

Similar Documents

Publication Publication Date Title
US20060076244A1 (en) Barrier enhancement process for copper interconnects
US10096547B2 (en) Metallic interconnects products
US6924226B2 (en) Methods for making multiple seed layers for metallic interconnects
US7199052B2 (en) Seed layers for metallic interconnects
EP1233448B1 (en) Reliable interconnects with low via/contact resistance
US7129165B2 (en) Method and structure to improve reliability of copper interconnects
US6107186A (en) High planarity high-density in-laid metallization patterns by damascene-CMP processing
US20020066671A1 (en) Seed layer deposition
US6303498B1 (en) Method for preventing seed layer oxidation for high aspect gap fill
EP1087432A1 (en) A method for improving the quality of a metal layer deposited from a plating bath
JP4169950B2 (en) Manufacturing method of semiconductor device
JP2002033323A (en) Method of manufacturing semiconductor device having copper interconnecting portion
US6955984B2 (en) Surface treatment of metal interconnect lines
US20050095846A1 (en) System and method for defect free conductor deposition on substrates
JP2001023925A (en) Semiconductor device and manufacture thereof
Ting et al. Advances in copper metallization technology

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

点击 这是indexloc提供的php浏览器服务,不要输入任何密码和下载