US20040259303A1 - Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors - Google Patents
Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors Download PDFInfo
- Publication number
- US20040259303A1 US20040259303A1 US10/894,750 US89475004A US2004259303A1 US 20040259303 A1 US20040259303 A1 US 20040259303A1 US 89475004 A US89475004 A US 89475004A US 2004259303 A1 US2004259303 A1 US 2004259303A1
- Authority
- US
- United States
- Prior art keywords
- gate
- layer
- nitride
- protective
- integrated circuit
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
- 238000000059 patterning Methods 0.000 title claims description 3
- 238000000034 method Methods 0.000 claims abstract description 42
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims abstract description 17
- 229910021332 silicide Inorganic materials 0.000 claims abstract description 16
- 150000004767 nitrides Chemical class 0.000 claims description 39
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 20
- 229910052710 silicon Inorganic materials 0.000 claims description 18
- 239000010703 silicon Substances 0.000 claims description 18
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 17
- 239000000463 material Substances 0.000 claims description 13
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 9
- 239000000758 substrate Substances 0.000 claims description 9
- -1 Hafnium Oxygen Nitride Chemical class 0.000 claims description 8
- CEPICIBPGDWCRU-UHFFFAOYSA-N [Si].[Hf] Chemical compound [Si].[Hf] CEPICIBPGDWCRU-UHFFFAOYSA-N 0.000 claims description 8
- 238000000151 deposition Methods 0.000 claims description 8
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 claims description 7
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 7
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 7
- 229910052721 tungsten Inorganic materials 0.000 claims description 7
- 239000010937 tungsten Substances 0.000 claims description 7
- 239000012212 insulator Substances 0.000 claims description 5
- 230000005669 field effect Effects 0.000 claims description 4
- 238000002955 isolation Methods 0.000 claims description 2
- 230000001681 protective effect Effects 0.000 claims 24
- 229910000449 hafnium oxide Inorganic materials 0.000 claims 7
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 claims 7
- 229910052814 silicon oxide Inorganic materials 0.000 claims 5
- 239000004065 semiconductor Substances 0.000 claims 3
- 125000006850 spacer group Chemical group 0.000 abstract description 10
- 230000015572 biosynthetic process Effects 0.000 abstract description 8
- 239000007943 implant Substances 0.000 abstract description 8
- 230000010354 integration Effects 0.000 abstract description 3
- 235000012431 wafers Nutrition 0.000 description 9
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- 238000005498 polishing Methods 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 230000003213 activating effect Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 238000007669 thermal treatment Methods 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/60—Electrodes characterised by their materials
- H10D64/66—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes
- H10D64/68—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator
- H10D64/693—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator the insulator comprising nitrogen, e.g. nitrides, oxynitrides or nitrogen-doped materials
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/027—Manufacture or treatment of FETs having insulated gates [IGFET] of lateral single-gate IGFETs
- H10D30/0275—Manufacture or treatment of FETs having insulated gates [IGFET] of lateral single-gate IGFETs forming single crystalline semiconductor source or drain regions resulting in recessed gates, e.g. forming raised source or drain regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/01—Manufacture or treatment
- H10D64/015—Manufacture or treatment removing at least parts of gate spacers, e.g. disposable spacers
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/01—Manufacture or treatment
- H10D64/017—Manufacture or treatment using dummy gates in processes wherein at least parts of the final gates are self-aligned to the dummy gates, i.e. replacement gate processes
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/60—Electrodes characterised by their materials
- H10D64/66—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes
- H10D64/667—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes the conductor comprising a layer of alloy material, compound material or organic material contacting the insulator, e.g. TiN workfunction layers
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0165—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
- H10D84/0172—Manufacturing their gate conductors
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/02—Manufacture or treatment characterised by using material-based technologies
- H10D84/03—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology
- H10D84/038—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology using silicon technology, e.g. SiGe
Definitions
- the field of the invention is that of CMOS processing, in particular integration of the process for fabricating integrated circuits.
- the dimensions of devices in the near future are expected be less than 100 nm and the gate dielectric in a field effect transistor is expected to be of material having a higher dielectric constant than silicon dioxide (SiO2, oxide).
- SiO2, oxide silicon dioxide
- metal gates e.g. Tungsten, Tantalum Silicon Nitride (TaSiN), Tantalum Nitride (TaN)
- Tungsten Tantalum Silicon Nitride
- TaSiN Tantalum Nitride
- TaN Tantalum Nitride
- Such gates require a sacrificial gate process, independently of whether the gate dielectric does.
- the transistor is constructed with a dummy, or sacrificial, gate during the steps such as providing alignment of the sources and drains with the gate structure. After activating the source and drain, the sacrificial gate material is removed and the high-k gate dielectric and/or the metal gate material are deposited.
- the thickness of the silicon device layer decreases, and there is not enough material in the thickness of the layer to be consumed (e. g. less than about 50 nm of silicon) in the process of forming a silicide for higher conductivity and better performance.
- the invention relates to a process for forming a CMOS transistor in a silicon on insulator wafer that combines a sacrificial gate process with a raised source and drain.
- a three layer hardmask protects the gate stack during subsequent processing.
- two disposable spacers are used to define the area for the raised source/drain and to block an ion implant into the raised source/drain.
- the hard mask prevents the formation of silicide on the top of the gate stack.
- FIG. 1 illustrates in cross section a CMOS transistor being formed in a SOI wafer, at a preliminary stage in the process.
- FIG. 2 illustrates the same area after the gate stack has been patterned.
- FIG. 3 illustrates the same area after forming temporary sidewalls.
- FIG. 4 illustrates the same area after forming the raised sources and drains.
- FIG. 5 illustrates the same area after stripping the temporary sidewalls and implanting the lightly doped portions of the sources and drains.
- FIG. 6 illustrates the same area after encapsulating the gate stack with nitride and depositing the final sidewalls.
- FIG. 7 illustrates the area after forming a planarizing layer and cmp.
- FIG. 8 illustrates the area after removal of the sacrificial gate.
- FIG. 1 illustrates in cross section a CMOS transistor being formed in a wafer, which may be either SOI or bulk silicon) at a preliminary stage in the process.
- silicon substrate 10 has a gate oxide layer 20 formed on it, with a blanket layer of polycrystalline silicon (poly) 30 on top of that.
- Oxide 20 will be removed in this area, but is a thermal oxide that may be used as a gate oxide in other portions of the integrated circuit.
- Poly layer 30 will be used to form the sacrificial gate in this process.
- Block 110 represents a patterned photoresist or other etch mask that defines the width of the gate stack in the following gate etch.
- the Nitride/Oxide/Nitride stack layer is used as a hard mask for the polysilicon gate. This structure allows raised source/drain formation prior to formation of the LDD extension of the source and drain step and effectively blocks forming silicide during that step of the conventional CMOS process.
- FIG. 2 shows the gate stack after the directional patterning etch, illustratively a reactive ion etch, which has used appropriate chemistry, changing it as necessary to remove all of the hardmask 50 and then, using the top nitride layer 56 of the hard mask for definition, to pattern the poly layer 30 and the gate oxide 20 by removing those portions of the poly and oxide layers outside the gate stack, stopping on substrate 10 .
- a reactive ion etch which has used appropriate chemistry, changing it as necessary to remove all of the hardmask 50 and then, using the top nitride layer 56 of the hard mask for definition, to pattern the poly layer 30 and the gate oxide 20 by removing those portions of the poly and oxide layers outside the gate stack, stopping on substrate 10 .
- FIG. 3 shows the result of forming a conformal layer of oxide 122 that encapsulates the gate stack.
- This layer of oxide protects the gate stack during the formation of disposable nitride spacer 124 , which is used in a subsequent step of forming a raised source and drain.
- a directional etch removes the horizontal portions of the nitride in layer 124 and also the oxide in layer 122 .
- the structure shown in this figure will be referred to as the expanded stack.
- the structure of hardmask 50 is unchanged, as the oxide layer 122 on the top of hardmask 50 has been removed during the process of spacer definition.
- FIG. 4 shows the area after a process of epitaxial deposition of silicon to form raised source and drain layers 130 .
- silicon 130 is grown in a selective process that increases the thickness only where silicon is exposed; i.e. in which the silicon does not adhere to nitride or oxide.
- the silicon formation is followed by growth of a thin layer of thermal oxide 132 that protects the new source/drain extension during the subsequent nitride strip.
- nitride spacer 124 has been removed, exposing an area adjacent to the expanded gate stack that will be implanted to form the LDD source/drain extension.
- the vertical portion of oxide layer 122 that was between spacers 124 and the gate stack protects the bottom nitride 52 of hardmask 50 during the nitride stripping step.
- the remaining portion of oxide 122 that was underneath spacer 124 has been removed in a directional etch that does not substantially attack oxide layer 54 in the hardmask.
- the LDD implant in this case N ⁇ , is made adjacent to the gate stack.
- FIG. 6 shows the area after the deposition of a thin layer of nitride 152 on top of the earlier hardmask layer 52 and extending horizontally, and formation of a second spacer 126 of oxide.
- Nitride 152 is stripped over the source and drain, in a process that preserves enough nitride 52 over the top of the gate stack to block silicide formation there.
- a silicidation process forms a silicide 137 on the raised source and drain, but not on the top of the gate stack. This protection from silicidation by bottom nitride 52 permits easier removal of the gate stack in the later step of removing the gate.
- the wafer is a silicon on insulator wafer, whether bonded or implanted
- similar considerations to those that drive those skilled in the art to shrink device dimensions also drive a reduction in thickness.
- fully depleted devices benefit from a reduction in the the thickness of the silicon device layer in which the bodies of transistors are formed.
- the device layer becomes too thin, however, being less than about 50 nm in contemporary technology, the amount of silicon in the area of the wafer that is the source and/or drain is not sufficient to form a silicide film having sufficent thickness to be acceptable.
- the addition of a step of raising the source and drain by depositing additional silicon in that area is beneficial.
- the additional raised source and drain may be SiGe, but does not need to be.
- a second source/drain implant dose implants the raised source/drain and increases the doping of the lower N + portion of the source /drain to the conventional concentration of N ++ .
- Spacers 126 block this implant over the inner area labeled N ⁇ and the intermediate area that has been implanted N + , producing an implant gradation from ⁇ adjacent to the gate stack, increasing to N + in the next region and then to N ++ under the silicide.
- Such a three-step process is preferred, but not necessary and the conventional two-step gradation of the lightly doped LDD region and the heavily doped source and drain may also be used.
- the oxide spacer 126 (and the intermediate layer 54 of the hardmask) is stripped, leaving nitride 152 over the gate stack.
- a thermal treatment to activate the implanted dopants may be performed at any convenient time after the N ++ implant and before the final gate dielectric and gate are put down.
- CMP chemical-mechanical polishing
- the final layer 52 of the hardmask may be polished away during this step, illustratively in a process that uses the exposure of poly 30 in the temporary gate as a signal to stop the polishing. If such a process is not used, the remaining portion of layer 52 / 152 is stripped, exposing the poly 30 in the gate.
- a poly etch removes gate 30 , leaving an aperture lined with layer 152 .
- Layer 152 may be left in place or stripped.
- the last removal step is an oxide etch to remove the sacrificial gate oxide 20 .
- the stripping of the gate oxide may be omitted.
- a new gate dielectric which may be a high-k material such as Hafnium Silicon Nitride (HfSiN), Hafnium Oxygen Nitride (HfON) or Hafnium oxide (HfO2) or any other suitable material, is put down and a new gate is deposited.
- the new gate may be chosen from a number of alternatives, such as Tungsten, Tantalum Silicon Nitride (TaSiN), Tantalum Nitride (TaN).
- the residue of the two foregoing steps is removed from the top of layer 76 , for example by chemical-mechanical polishing.
- the new gate may preferably fill the aperture left by the old gate, though that is not required. It may be preferable in some circumstances to fill the aperture partially and use the remaining space for a contact.
- the substrate 10 can be bulk silicon, SiGe and/or SOI, with or without a SiGe top layer.
Landscapes
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Thin Film Transistor (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
Description
- The field of the invention is that of CMOS processing, in particular integration of the process for fabricating integrated circuits.
- As dimensions shrink in integrated circuit processing, vertical dimensions shrink as well as transverse ones—i.e. the layers that make up the integrated circuit become thinner.
- The result of this is that various steps that were straightforward in larger-dimension processing become more difficult.
- For example, the dimensions of devices in the near future are expected be less than 100 nm and the gate dielectric in a field effect transistor is expected to be of material having a higher dielectric constant than silicon dioxide (SiO2, oxide). Unfortunately, such materials are less thermally stable than oxide, so that the permissible exposure of the device to high temperatures is even more limited than it is with oxide gate insulators.
- It is nevertheless necessary to expose the wafer, and thus the materials within the transistors, to temperatures in excess of one thousand degrees Centigrade in order to activate the source and drain diffusions of the transistors. Most high-k dielectrics can not withstand exposure to such temperatures for the durations required.
- Further, the use of metal gates (e.g. Tungsten, Tantalum Silicon Nitride (TaSiN), Tantalum Nitride (TaN)) is accepted as being necessary for adequate transistor performance.
- Such gates require a sacrificial gate process, independently of whether the gate dielectric does. In a sacrificial gate process, the transistor is constructed with a dummy, or sacrificial, gate during the steps such as providing alignment of the sources and drains with the gate structure. After activating the source and drain, the sacrificial gate material is removed and the high-k gate dielectric and/or the metal gate material are deposited.
- Those skilled in the art are aware that no removal process is one hundred per cent efficient, and there will inevitably be removal of extra material, so that the final dimensions of the gate will not be exactly as desired.
- In addition, in the case of silicon on insulator wafers, the thickness of the silicon device layer decreases, and there is not enough material in the thickness of the layer to be consumed (e. g. less than about 50 nm of silicon) in the process of forming a silicide for higher conductivity and better performance.
- Those skilled in the art are aware that raised sources and drains provide extra thickness only in the sources and drains, while retaining the desired dimension elsewhere.
- Although various aspects of the foregoing considerations are known to those skilled in the art, there remains a considerable problem of process integration to make all the process steps produce the desired final result.
- It is not enough to combine a step from one solution to a given problem with a step from another solution to another problem if the different steps are mutually exclusive or otherwise conflict.
- In integrated circuit processing at the 70 nm node, it is accepted in the art that a sacrificial gate process is necessary. In such a process, the transistor structure is formed
- The invention relates to a process for forming a CMOS transistor in a silicon on insulator wafer that combines a sacrificial gate process with a raised source and drain.
- In one embodiment of the invention, a three layer hardmask protects the gate stack during subsequent processing.
- In one embodiment of the invention, two disposable spacers are used to define the area for the raised source/drain and to block an ion implant into the raised source/drain.
- In another embodiment of the invention, the hard mask prevents the formation of silicide on the top of the gate stack.
- FIG. 1 illustrates in cross section a CMOS transistor being formed in a SOI wafer, at a preliminary stage in the process.
- FIG. 2 illustrates the same area after the gate stack has been patterned.
- FIG. 3 illustrates the same area after forming temporary sidewalls.
- FIG. 4 illustrates the same area after forming the raised sources and drains.
- FIG. 5 illustrates the same area after stripping the temporary sidewalls and implanting the lightly doped portions of the sources and drains.
- FIG. 6 illustrates the same area after encapsulating the gate stack with nitride and depositing the final sidewalls.
- FIG. 7 illustrates the area after forming a planarizing layer and cmp.
- FIG. 8 illustrates the area after removal of the sacrificial gate.
- FIG. 1 illustrates in cross section a CMOS transistor being formed in a wafer, which may be either SOI or bulk silicon) at a preliminary stage in the process. At the stage shown,
silicon substrate 10 has agate oxide layer 20 formed on it, with a blanket layer of polycrystalline silicon (poly) 30 on top of that.Oxide 20 will be removed in this area, but is a thermal oxide that may be used as a gate oxide in other portions of the integrated circuit.Poly layer 30 will be used to form the sacrificial gate in this process. - Above
poly layer 30, there is ahard mask layer 50, comprising sublayers of silicon nitride (Si3N4—nitride) 52 (30 nm thick), oxide 54 (15 nm thick), and a second or upper layer of nitride (30 nm thick).Block 110 represents a patterned photoresist or other etch mask that defines the width of the gate stack in the following gate etch. - In this application, the Nitride/Oxide/Nitride stack layer is used as a hard mask for the polysilicon gate. This structure allows raised source/drain formation prior to formation of the LDD extension of the source and drain step and effectively blocks forming silicide during that step of the conventional CMOS process.
- FIG. 2 shows the gate stack after the directional patterning etch, illustratively a reactive ion etch, which has used appropriate chemistry, changing it as necessary to remove all of the
hardmask 50 and then, using thetop nitride layer 56 of the hard mask for definition, to pattern thepoly layer 30 and thegate oxide 20 by removing those portions of the poly and oxide layers outside the gate stack, stopping onsubstrate 10. - FIG. 3 shows the result of forming a conformal layer of
oxide 122 that encapsulates the gate stack. This layer of oxide protects the gate stack during the formation ofdisposable nitride spacer 124, which is used in a subsequent step of forming a raised source and drain. After the deposition of the layer that forms spacers 124 (nominally 30 nm of nitride), a directional etch removes the horizontal portions of the nitride inlayer 124 and also the oxide inlayer 122. The structure shown in this figure will be referred to as the expanded stack. The structure ofhardmask 50 is unchanged, as theoxide layer 122 on the top ofhardmask 50 has been removed during the process of spacer definition. - FIG. 4 shows the area after a process of epitaxial deposition of silicon to form raised source and
drain layers 130. Illustratively,silicon 130 is grown in a selective process that increases the thickness only where silicon is exposed; i.e. in which the silicon does not adhere to nitride or oxide. The silicon formation is followed by growth of a thin layer ofthermal oxide 132 that protects the new source/drain extension during the subsequent nitride strip. - Referring now to FIG. 5,
nitride spacer 124 has been removed, exposing an area adjacent to the expanded gate stack that will be implanted to form the LDD source/drain extension. Advantageously, the vertical portion ofoxide layer 122 that was betweenspacers 124 and the gate stack protects thebottom nitride 52 ofhardmask 50 during the nitride stripping step. The remaining portion ofoxide 122 that was underneathspacer 124 has been removed in a directional etch that does not substantially attackoxide layer 54 in the hardmask. - The LDD implant, in this case N−, is made adjacent to the gate stack.
- FIG. 6 shows the area after the deposition of a thin layer of
nitride 152 on top of theearlier hardmask layer 52 and extending horizontally, and formation of asecond spacer 126 of oxide. Nitride 152 is stripped over the source and drain, in a process that preservesenough nitride 52 over the top of the gate stack to block silicide formation there. A silicidation process forms asilicide 137 on the raised source and drain, but not on the top of the gate stack. This protection from silicidation bybottom nitride 52 permits easier removal of the gate stack in the later step of removing the gate. - In the case where the wafer is a silicon on insulator wafer, whether bonded or implanted, similar considerations to those that drive those skilled in the art to shrink device dimensions also drive a reduction in thickness. In particular, fully depleted devices benefit from a reduction in the the thickness of the silicon device layer in which the bodies of transistors are formed. When the device layer becomes too thin, however, being less than about 50 nm in contemporary technology, the amount of silicon in the area of the wafer that is the source and/or drain is not sufficient to form a silicide film having sufficent thickness to be acceptable. In such a case, the addition of a step of raising the source and drain by depositing additional silicon in that area is beneficial. In the case of a wafer or circuit using SiGe technology, the additional raised source and drain may be SiGe, but does not need to be.
- A second source/drain implant dose implants the raised source/drain and increases the doping of the lower N+ portion of the source /drain to the conventional concentration of N++. Spacers 126 block this implant over the inner area labeled N− and the intermediate area that has been implanted N+, producing an implant gradation from − adjacent to the gate stack, increasing to N+ in the next region and then to N++ under the silicide. Such a three-step process is preferred, but not necessary and the conventional two-step gradation of the lightly doped LDD region and the heavily doped source and drain may also be used.
- As shown in FIG. 7, the oxide spacer126 (and the
intermediate layer 54 of the hardmask) is stripped, leavingnitride 152 over the gate stack. A thermal treatment to activate the implanted dopants may be performed at any convenient time after the N++ implant and before the final gate dielectric and gate are put down. - A blanket deposition of a relatively thick layer of
nitride 72 for an etch stop andoxide 76, for isolation of the conductive members in the local interconnect, forms a thick layer that is reduced by conventional chemical-mechanical polishing (CMP) to the level of the top of the gate stack. Thefinal layer 52 of the hardmask may be polished away during this step, illustratively in a process that uses the exposure ofpoly 30 in the temporary gate as a signal to stop the polishing. If such a process is not used, the remaining portion oflayer 52/152 is stripped, exposing thepoly 30 in the gate. - A poly etch removes
gate 30, leaving an aperture lined withlayer 152.Layer 152 may be left in place or stripped. The last removal step is an oxide etch to remove thesacrificial gate oxide 20. Optionally, if the design calls for a final gate dielectric of oxide, the stripping of the gate oxide may be omitted. A new gate dielectric, which may be a high-k material such as Hafnium Silicon Nitride (HfSiN), Hafnium Oxygen Nitride (HfON) or Hafnium oxide (HfO2) or any other suitable material, is put down and a new gate is deposited. The new gate may be chosen from a number of alternatives, such as Tungsten, Tantalum Silicon Nitride (TaSiN), Tantalum Nitride (TaN). The residue of the two foregoing steps is removed from the top oflayer 76, for example by chemical-mechanical polishing. The new gate may preferably fill the aperture left by the old gate, though that is not required. It may be preferable in some circumstances to fill the aperture partially and use the remaining space for a contact. - This process is applied to CMOS transistors of both polarities, NFETs and PFETS. The
substrate 10 can be bulk silicon, SiGe and/or SOI, with or without a SiGe top layer. - While the invention has been described in terms of a single preferred embodiment, those skilled in the art will recognize that the invention can be practiced in various versions within the spirit and scope of the following claims.
Claims (36)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/894,750 US20040259303A1 (en) | 2003-01-14 | 2004-07-19 | Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/342,420 US6800530B2 (en) | 2003-01-14 | 2003-01-14 | Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors |
US10/894,750 US20040259303A1 (en) | 2003-01-14 | 2004-07-19 | Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/342,420 Division US6800530B2 (en) | 2003-01-14 | 2003-01-14 | Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors |
Publications (1)
Publication Number | Publication Date |
---|---|
US20040259303A1 true US20040259303A1 (en) | 2004-12-23 |
Family
ID=32711704
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/342,420 Expired - Lifetime US6800530B2 (en) | 2003-01-14 | 2003-01-14 | Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors |
US10/894,750 Abandoned US20040259303A1 (en) | 2003-01-14 | 2004-07-19 | Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/342,420 Expired - Lifetime US6800530B2 (en) | 2003-01-14 | 2003-01-14 | Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors |
Country Status (2)
Country | Link |
---|---|
US (2) | US6800530B2 (en) |
JP (1) | JP4150661B2 (en) |
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050215055A1 (en) * | 2004-03-24 | 2005-09-29 | Texas Instruments, Incorporated | Semiconductor device having a fully silicided gate electrode and method of manufacture therefor |
US20060281270A1 (en) * | 2005-06-08 | 2006-12-14 | Advanced Micro Devices, Inc. | Raised source and drain process with disposable spacers |
CN100452354C (en) * | 2005-08-25 | 2009-01-14 | 中芯国际集成电路制造(上海)有限公司 | Producing method for strain source leakage CMOS using multilayer film as hard mask and anti-reflecting layer |
WO2011139642A2 (en) * | 2010-04-26 | 2011-11-10 | Applied Materials, Inc. | Nmos metal gate materials, manufacturing methods, and equipment using cvd and ald processes with metal based precursors |
US20120104470A1 (en) * | 2010-10-28 | 2012-05-03 | International Business Machines Corporation | Replacement gate mosfet with raised source and drain |
US20120187459A1 (en) * | 2011-01-20 | 2012-07-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device including an epitaxy region |
US8486790B2 (en) * | 2011-07-18 | 2013-07-16 | United Microelectronics Corp. | Manufacturing method for metal gate |
US8815670B2 (en) * | 2012-12-07 | 2014-08-26 | International Business Machines Corporation | Preventing Fin erosion and limiting EPI overburden in FinFET structures by composite hardmask |
US20140295637A1 (en) * | 2013-03-26 | 2014-10-02 | International Business Machines Corporation | Spacer replacement for replacement metal gate semiconductor devices |
CN108428633A (en) * | 2017-02-14 | 2018-08-21 | 格芯公司 | Semiconductor structure with gate height scaling |
Families Citing this family (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7754552B2 (en) * | 2003-07-29 | 2010-07-13 | Intel Corporation | Preventing silicide formation at the gate electrode in a replacement metal gate technology |
DE10351008B4 (en) * | 2003-10-31 | 2008-07-10 | Advanced Micro Devices, Inc., Sunnyvale | A method of fabricating transistors having elevated drain and source regions of different height and a semiconductor device |
US7157343B2 (en) * | 2004-04-07 | 2007-01-02 | United Microelectronics Corp. | Method for fabricating semiconductor device |
US7125805B2 (en) * | 2004-05-05 | 2006-10-24 | Freescale Semiconductor, Inc. | Method of semiconductor fabrication incorporating disposable spacer into elevated source/drain processing |
US7135724B2 (en) * | 2004-09-29 | 2006-11-14 | International Business Machines Corporation | Structure and method for making strained channel field effect transistor using sacrificial spacer |
DE102005014749B4 (en) * | 2005-03-31 | 2010-12-23 | Advanced Micro Devices, Inc., Sunnyvale | A method of fabricating a transistor having a raised drain and source region by means of a three-layer hard mask for gate patterning |
WO2007008173A1 (en) * | 2005-07-07 | 2007-01-18 | National University Of Singapore | Semiconductor structure for transistors with enhanced subthreshold swing and methods of manufacture thereof |
US20070023864A1 (en) * | 2005-07-28 | 2007-02-01 | International Business Machines Corporation | Methods of fabricating bipolar transistor for improved isolation, passivation and critical dimension control |
US7566609B2 (en) * | 2005-11-29 | 2009-07-28 | International Business Machines Corporation | Method of manufacturing a semiconductor structure |
JP5091403B2 (en) * | 2005-12-15 | 2012-12-05 | ルネサスエレクトロニクス株式会社 | Semiconductor device and manufacturing method thereof |
US8367508B2 (en) | 2010-04-09 | 2013-02-05 | International Business Machines Corporation | Self-aligned contacts for field effect transistor devices |
US8664060B2 (en) * | 2012-02-07 | 2014-03-04 | United Microelectronics Corp. | Semiconductor structure and method of fabricating the same |
US8722541B2 (en) * | 2012-03-15 | 2014-05-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Double patterning method for semiconductor devices |
CN103456630B (en) * | 2012-05-30 | 2016-02-17 | 中芯国际集成电路制造(上海)有限公司 | Metal-oxide-semiconductor and forming method thereof |
CN104037130B (en) * | 2013-03-05 | 2017-09-22 | 中芯国际集成电路制造(上海)有限公司 | The preparation method of CMOS transistor |
US9716160B2 (en) * | 2014-08-01 | 2017-07-25 | International Business Machines Corporation | Extended contact area using undercut silicide extensions |
US11387338B1 (en) * | 2021-01-22 | 2022-07-12 | Applied Materials, Inc. | Methods for forming planar metal-oxide-semiconductor field-effect transistors |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6033963A (en) * | 1999-08-30 | 2000-03-07 | Taiwan Semiconductor Manufacturing Company | Method of forming a metal gate for CMOS devices using a replacement gate process |
US6083836A (en) * | 1997-12-23 | 2000-07-04 | Texas Instruments Incorporated | Transistors with substitutionally formed gate structures and method |
US6177303B1 (en) * | 1998-09-28 | 2001-01-23 | U.S. Philips Corporation | Method of manufacturing a semiconductor device with a field effect transistor |
US6251763B1 (en) * | 1997-06-30 | 2001-06-26 | Kabushiki Kaisha Toshiba | Semiconductor device and method for manufacturing same |
US20010049183A1 (en) * | 2000-03-30 | 2001-12-06 | Kirklen Henson | Method for forming MIS transistors with a metal gate and high-k dielectric using a replacement gate process and devices obtained thereof |
US6333247B1 (en) * | 1999-02-10 | 2001-12-25 | International Business Machines Corporation | Two-step MOSFET gate formation for high-density devices |
US6365474B1 (en) * | 2000-06-22 | 2002-04-02 | Motorola, Inc. | Method of fabricating an integrated circuit |
US6927435B2 (en) * | 2001-01-16 | 2005-08-09 | Renesas Technology Corp. | Semiconductor device and its production process |
Family Cites Families (34)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5168072A (en) * | 1990-10-12 | 1992-12-01 | Texas Instruments Incorporated | Method of fabricating an high-performance insulated-gate field-effect transistor |
KR100274555B1 (en) * | 1991-06-26 | 2000-12-15 | 윌리엄 비. 켐플러 | Insulated gate field effect transistor and manufacturing the same |
KR0168348B1 (en) * | 1995-05-11 | 1999-02-01 | 김광호 | Process for producing soi substrae |
US6069380A (en) * | 1997-07-25 | 2000-05-30 | Regents Of The University Of Minnesota | Single-electron floating-gate MOS memory |
US5908672A (en) * | 1997-10-15 | 1999-06-01 | Applied Materials, Inc. | Method and apparatus for depositing a planarized passivation layer |
US5955759A (en) * | 1997-12-11 | 1999-09-21 | International Business Machines Corporation | Reduced parasitic resistance and capacitance field effect transistor |
KR100275728B1 (en) * | 1998-02-24 | 2001-01-15 | 윤종용 | Method for manufacturing barrier metal layer of semiconductor device and manufacturing method of metal interconnect using the same |
KR100284737B1 (en) * | 1998-03-26 | 2001-03-15 | 윤종용 | Manufacturing method of capacitor having dielectric film of high dielectric constant in semiconductor device |
US6214694B1 (en) * | 1998-11-17 | 2001-04-10 | International Business Machines Corporation | Process of making densely patterned silicon-on-insulator (SOI) region on a wafer |
US6238998B1 (en) * | 1998-11-20 | 2001-05-29 | International Business Machines Corporation | Shallow trench isolation on a silicon substrate using nitrogen implant into the side wall |
US6188122B1 (en) * | 1999-01-14 | 2001-02-13 | International Business Machines Corporation | Buried capacitor for silicon-on-insulator structure |
US6521947B1 (en) * | 1999-01-28 | 2003-02-18 | International Business Machines Corporation | Method of integrating substrate contact on SOI wafers with STI process |
US6180486B1 (en) * | 1999-02-16 | 2001-01-30 | International Business Machines Corporation | Process of fabricating planar and densely patterned silicon-on-insulator structure |
US6281583B1 (en) * | 1999-05-12 | 2001-08-28 | International Business Machines Corporation | Planar integrated circuit interconnect |
KR100333382B1 (en) * | 1999-06-24 | 2002-04-18 | 박종섭 | Method for forming multi-level metal interconnection of semiconductor device |
KR100358130B1 (en) * | 1999-12-24 | 2002-10-25 | 주식회사 하이닉스반도체 | A method for forming trench isolation for releasing stress concentration in bottom side of trench |
US6483156B1 (en) * | 2000-03-16 | 2002-11-19 | International Business Machines Corporation | Double planar gated SOI MOSFET structure |
KR100340207B1 (en) * | 2000-06-15 | 2002-06-12 | 윤종용 | Insulator layer and method for fabricating the same |
KR100639200B1 (en) * | 2000-06-30 | 2006-10-31 | 주식회사 하이닉스반도체 | Capacitor Manufacturing Method of Semiconductor Memory Device |
US6602753B2 (en) * | 2000-07-26 | 2003-08-05 | Kabushiki Kaisha Toshiba | Semiconductor device having a gate insulating film comprising a metal oxide and method of manufacturing the same |
JP5371162B2 (en) * | 2000-10-13 | 2013-12-18 | 三星電子株式会社 | Reflective photomask |
US6551885B1 (en) * | 2001-02-09 | 2003-04-22 | Advanced Micro Devices, Inc. | Low temperature process for a thin film transistor |
US6495437B1 (en) * | 2001-02-09 | 2002-12-17 | Advanced Micro Devices, Inc. | Low temperature process to locally form high-k gate dielectrics |
US6858906B2 (en) * | 2001-06-28 | 2005-02-22 | Samsung Electronics Co., Ltd. | Floating trap non-volatile semiconductor memory devices including high dielectric constant blocking insulating layers |
US6635517B2 (en) * | 2001-08-07 | 2003-10-21 | International Business Machines Corporation | Use of disposable spacer to introduce gettering in SOI layer |
KR100439068B1 (en) * | 2001-09-07 | 2004-07-05 | 주식회사 코리아나화장품 | Stablized cosmetic material containing triple layered retonol |
US6649460B2 (en) * | 2001-10-25 | 2003-11-18 | International Business Machines Corporation | Fabricating a substantially self-aligned MOSFET |
US6469350B1 (en) * | 2001-10-26 | 2002-10-22 | International Business Machines Corporation | Active well schemes for SOI technology |
US6653698B2 (en) * | 2001-12-20 | 2003-11-25 | International Business Machines Corporation | Integration of dual workfunction metal gate CMOS devices |
KR100455383B1 (en) * | 2002-04-18 | 2004-11-06 | 삼성전자주식회사 | Reflection photomask, method of fabricating reflection photomask and method of fabricating integrated circuit using the same |
US6825097B2 (en) * | 2002-08-07 | 2004-11-30 | International Business Machines Corporation | Triple oxide fill for trench isolation |
KR100464858B1 (en) * | 2002-08-23 | 2005-01-05 | 삼성전자주식회사 | Organic Stripping Composition And Method Of Etching Oxide Using Thereof |
KR100497748B1 (en) * | 2002-09-17 | 2005-06-29 | 주식회사 무한 | ALD equament and ALD methode |
KR100474571B1 (en) * | 2002-09-23 | 2005-03-10 | 삼성전자주식회사 | Method of setting reference images, method and apparatus using the setting method for inspecting patterns on a wafer |
-
2003
- 2003-01-14 US US10/342,420 patent/US6800530B2/en not_active Expired - Lifetime
- 2003-12-18 JP JP2003421709A patent/JP4150661B2/en not_active Expired - Fee Related
-
2004
- 2004-07-19 US US10/894,750 patent/US20040259303A1/en not_active Abandoned
Patent Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6251763B1 (en) * | 1997-06-30 | 2001-06-26 | Kabushiki Kaisha Toshiba | Semiconductor device and method for manufacturing same |
US6083836A (en) * | 1997-12-23 | 2000-07-04 | Texas Instruments Incorporated | Transistors with substitutionally formed gate structures and method |
US6177303B1 (en) * | 1998-09-28 | 2001-01-23 | U.S. Philips Corporation | Method of manufacturing a semiconductor device with a field effect transistor |
US6333247B1 (en) * | 1999-02-10 | 2001-12-25 | International Business Machines Corporation | Two-step MOSFET gate formation for high-density devices |
US6033963A (en) * | 1999-08-30 | 2000-03-07 | Taiwan Semiconductor Manufacturing Company | Method of forming a metal gate for CMOS devices using a replacement gate process |
US20010049183A1 (en) * | 2000-03-30 | 2001-12-06 | Kirklen Henson | Method for forming MIS transistors with a metal gate and high-k dielectric using a replacement gate process and devices obtained thereof |
US6365474B1 (en) * | 2000-06-22 | 2002-04-02 | Motorola, Inc. | Method of fabricating an integrated circuit |
US6927435B2 (en) * | 2001-01-16 | 2005-08-09 | Renesas Technology Corp. | Semiconductor device and its production process |
Cited By (32)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050215055A1 (en) * | 2004-03-24 | 2005-09-29 | Texas Instruments, Incorporated | Semiconductor device having a fully silicided gate electrode and method of manufacture therefor |
WO2005094534A2 (en) * | 2004-03-24 | 2005-10-13 | Texas Instruments Incorporated | A semiconductor device having a silicided gate electrode and method of manufacture therefor |
WO2005094534A3 (en) * | 2004-03-24 | 2006-01-19 | Texas Instruments Inc | A semiconductor device having a silicided gate electrode and method of manufacture therefor |
US7148143B2 (en) * | 2004-03-24 | 2006-12-12 | Texas Instruments Incorporated | Semiconductor device having a fully silicided gate electrode and method of manufacture therefor |
US20070063294A1 (en) * | 2004-03-24 | 2007-03-22 | Texas Instruments Incorporated | Semiconductor Device Having a Fully Silicided Gate Electrode and Method of Manufacture Therefor |
US20060281270A1 (en) * | 2005-06-08 | 2006-12-14 | Advanced Micro Devices, Inc. | Raised source and drain process with disposable spacers |
WO2006135489A1 (en) * | 2005-06-08 | 2006-12-21 | Advanced Micro Devices, Inc. | A raised source and drain process with disposable spacers |
GB2442372A (en) * | 2005-06-08 | 2008-04-02 | Advanced Micro Devices Inc | A raised source and drain process with disposable spacers |
US7745296B2 (en) | 2005-06-08 | 2010-06-29 | Globalfoundries Inc. | Raised source and drain process with disposable spacers |
CN100452354C (en) * | 2005-08-25 | 2009-01-14 | 中芯国际集成电路制造(上海)有限公司 | Producing method for strain source leakage CMOS using multilayer film as hard mask and anti-reflecting layer |
KR102180890B1 (en) | 2010-04-26 | 2020-11-19 | 어플라이드 머티어리얼스, 인코포레이티드 | Nmos metal gate materials, manufacturing methods, and equipment using cvd and ald processes with metal based precursors |
KR20180015305A (en) * | 2010-04-26 | 2018-02-12 | 어플라이드 머티어리얼스, 인코포레이티드 | Nmos metal gate materials, manufacturing methods, and equipment using cvd and ald processes with metal based precursors |
US8642468B2 (en) | 2010-04-26 | 2014-02-04 | Applied Materials, Inc. | NMOS metal gate materials, manufacturing methods, and equipment using CVD and ALD processes with metal based precursors |
WO2011139642A2 (en) * | 2010-04-26 | 2011-11-10 | Applied Materials, Inc. | Nmos metal gate materials, manufacturing methods, and equipment using cvd and ald processes with metal based precursors |
WO2011139642A3 (en) * | 2010-04-26 | 2012-03-01 | Applied Materials, Inc. | Nmos metal gate materials, manufacturing methods, and equipment using cvd and ald processes with metal based precursors |
US9048183B2 (en) | 2010-04-26 | 2015-06-02 | Applied Materials, Inc. | NMOS metal gate materials, manufacturing methods, and equipment using CVD and ALD processes with metal based precursors |
US8946006B2 (en) * | 2010-10-28 | 2015-02-03 | International Business Machines Corporation | Replacement gate MOSFET with raised source and drain |
US20120104470A1 (en) * | 2010-10-28 | 2012-05-03 | International Business Machines Corporation | Replacement gate mosfet with raised source and drain |
US9059270B2 (en) | 2010-10-28 | 2015-06-16 | International Business Machines Corporation | Replacement gate MOSFET with raised source and drain |
US11955547B2 (en) | 2011-01-20 | 2024-04-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device including an epitaxy region |
US10164093B2 (en) | 2011-01-20 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device including an epitaxy region |
US9595477B2 (en) * | 2011-01-20 | 2017-03-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device including an epitaxy region |
US20120187459A1 (en) * | 2011-01-20 | 2012-07-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device including an epitaxy region |
US8486790B2 (en) * | 2011-07-18 | 2013-07-16 | United Microelectronics Corp. | Manufacturing method for metal gate |
US8815670B2 (en) * | 2012-12-07 | 2014-08-26 | International Business Machines Corporation | Preventing Fin erosion and limiting EPI overburden in FinFET structures by composite hardmask |
DE112013005871B4 (en) | 2012-12-07 | 2018-06-28 | Globalfoundries Inc. | Method for forming a FinFET structure and FinFET structure |
US8815668B2 (en) * | 2012-12-07 | 2014-08-26 | International Business Machines Corporation | Preventing FIN erosion and limiting Epi overburden in FinFET structures by composite hardmask |
US9373697B2 (en) * | 2013-03-26 | 2016-06-21 | GlobalFoundries, Inc. | Spacer replacement for replacement metal gate semiconductor devices |
US9171927B2 (en) * | 2013-03-26 | 2015-10-27 | GlobalFoundries, Inc. | Spacer replacement for replacement metal gate semiconductor devices |
US20150024568A1 (en) * | 2013-03-26 | 2015-01-22 | International Business Machines Corporation | Spacer replacement for replacement metal gate semiconductor devices |
US20140295637A1 (en) * | 2013-03-26 | 2014-10-02 | International Business Machines Corporation | Spacer replacement for replacement metal gate semiconductor devices |
CN108428633A (en) * | 2017-02-14 | 2018-08-21 | 格芯公司 | Semiconductor structure with gate height scaling |
Also Published As
Publication number | Publication date |
---|---|
JP4150661B2 (en) | 2008-09-17 |
US6800530B2 (en) | 2004-10-05 |
US20040137672A1 (en) | 2004-07-15 |
JP2004221556A (en) | 2004-08-05 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US6800530B2 (en) | Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors | |
US7078282B2 (en) | Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films | |
US6200866B1 (en) | Use of silicon germanium and other alloys as the replacement gate for the fabrication of MOSFET | |
US6512266B1 (en) | Method of fabricating SiO2 spacers and annealing caps | |
US7879660B2 (en) | Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures | |
US6908801B2 (en) | Method of manufacturing semiconductor device | |
US6271094B1 (en) | Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance | |
US9373695B2 (en) | Method for improving selectivity of epi process | |
EP1524688B1 (en) | Method for fabricating semiconductor devices having silicided electrodes | |
US20050048722A1 (en) | Method of manufacturing semiconductor device | |
JP2004072094A (en) | Gate structure and method | |
WO2007126488A2 (en) | Method for fabricating a semiconductor component including a high capacitance per unit area capacitor | |
US6579784B1 (en) | Method for forming a metal gate integrated with a source and drain salicide process with oxynitride spacers | |
US7537981B2 (en) | Silicon on insulator device and method of manufacturing the same | |
US6432781B2 (en) | Inverted MOSFET process | |
US6593617B1 (en) | Field effect transistors with vertical gate side walls and method for making such transistors | |
US6566215B1 (en) | Method of fabricating short channel MOS transistors with source/drain extensions | |
US6060376A (en) | Integrated etch process for polysilicon/metal gate | |
US6376293B1 (en) | Shallow drain extenders for CMOS transistors using replacement gate design | |
US6727151B2 (en) | Method to fabricate elevated source/drain structures in MOS transistors | |
JP3859439B2 (en) | Method for manufacturing MOSFET structure | |
US20240332420A1 (en) | Semiconductor device and manufacturing method thereof | |
US20240096630A1 (en) | Semiconductor device and manufacturing method thereof | |
US20080258145A1 (en) | Semiconductor Devices Including an Amorphous Region in an Interface Between a Device Isolation Layer and a Source/Drain Diffusion Layer | |
KR100564432B1 (en) | Transistor manufacturing method |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |
|
AS | Assignment |
Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001 Effective date: 20150629 |
|
AS | Assignment |
Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001 Effective date: 20150910 |