TW201816882A - Hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication - Google Patents
Hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication Download PDFInfo
- Publication number
- TW201816882A TW201816882A TW105134670A TW105134670A TW201816882A TW 201816882 A TW201816882 A TW 201816882A TW 105134670 A TW105134670 A TW 105134670A TW 105134670 A TW105134670 A TW 105134670A TW 201816882 A TW201816882 A TW 201816882A
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- dielectric
- etching gas
- etching
- polymeric
- Prior art date
Links
Landscapes
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Drying Of Semiconductors (AREA)
Abstract
Description
本發明大致關於積體電路,且更特定而言,關於用於製造積體電路之金屬圖案化方法。 The present invention relates generally to integrated circuits and, more particularly, to metal patterning methods for fabricating integrated circuits.
積體電路通常使用金屬互連(或「線路」)連接IC上的電晶體及其他的半導體裝置。這些互連一般在已在晶圓上形成個別半導體裝置之後的線路後端(BEOL)製程期間製造。 Integrated circuits typically use metal interconnects (or "wires") to connect the transistors and other semiconductor devices on the IC. These interconnects are typically fabricated during a line back end (BEOL) process after individual semiconductor devices have been formed on the wafer.
這些互連一般使用加成鑲嵌製程製造,其中將底下的絕緣層(例如氧化矽)以開渠圖案化。後續在該絕緣層上沈積導電性金屬而以金屬填充該渠。將金屬移除直到該絕緣層頂部,但仍殘留在該渠內而形成圖案化導體。依照該鑲嵌製程形成連續層的絕緣體及金屬,而生成多層金屬互連結構。 These interconnects are typically fabricated using an additive damascene process in which a underlying insulating layer, such as hafnium oxide, is patterned in an open channel. A conductive metal is subsequently deposited on the insulating layer to fill the trench with a metal. The metal is removed until the top of the insulating layer, but remains in the channel to form a patterned conductor. A continuous layer of insulator and metal are formed in accordance with the damascene process to form a multilayer metal interconnect structure.
在本發明之一具體實施例中,一種互連製造用之氫氟碳化物氣體輔助電漿蝕刻之方法包括提供一層介電材料,及藉由對該層介電材料施加強烈介電蝕刻氣體與聚合蝕刻氣體的混合物,而在該層介電材料中蝕刻渠。 In one embodiment of the invention, a method of assisting plasma etching of a HFC gas for interconnect fabrication includes providing a dielectric material and applying a strong dielectric etch gas to the layer of dielectric material A mixture of etching gases is polymerized and the channels are etched in the layer of dielectric material.
在另一具體實施例中,一種互連製造用之氫氟碳化物氣體輔助電漿蝕刻之方法包括提供覆蓋層,提供一層直接在該覆蓋層上的介電材料,及提供直接在該層介電材料上的光罩層。將該光罩層圖案化而暴露部分該層介電材料,然後蝕刻部分該層介電材料而形成複數條渠。該蝕刻係使用強烈介電蝕刻氣體與聚合蝕刻氣體的混合物而實行,及其中該蝕刻止於該覆蓋層。將該複數條渠以導電性金屬填充而形成複數條導電線路。 In another embodiment, a method of interconnecting a hydrofluorocarbon gas-assisted plasma etch for fabrication includes providing a cap layer, providing a layer of dielectric material directly over the cap layer, and providing a layer directly in the layer A mask layer on the electrical material. The mask layer is patterned to expose a portion of the layer of dielectric material, and then a portion of the layer of dielectric material is etched to form a plurality of trenches. The etching is performed using a mixture of a strong dielectric etch gas and a polymeric etch gas, and wherein the etch stops at the cap layer. The plurality of channels are filled with a conductive metal to form a plurality of conductive lines.
在另一具體實施例中,一種積體電路包括複數個半導體裝置、及複數條連接該複數個半導體裝置之導電線路。該複數條導電線路之節距為大約28奈米。 In another embodiment, an integrated circuit includes a plurality of semiconductor devices and a plurality of conductive traces connecting the plurality of semiconductor devices. The pitch of the plurality of conductive lines is about 28 nm.
100‧‧‧積體電路 100‧‧‧ integrated circuit
102‧‧‧前端 102‧‧‧ front end
104‧‧‧半導體晶圓 104‧‧‧Semiconductor wafer
106‧‧‧閘極 106‧‧‧ gate
108‧‧‧覆蓋層 108‧‧‧ Coverage
110‧‧‧介電層 110‧‧‧ dielectric layer
112‧‧‧光罩層 112‧‧‧Photomask
114‧‧‧後端 114‧‧‧ Backend
116‧‧‧渠 116‧‧‧ Canal
118‧‧‧鈍化層 118‧‧‧ Passivation layer
120‧‧‧導電性金屬層 120‧‧‧ Conductive metal layer
122‧‧‧互連 122‧‧‧Interconnection
為了可詳細了解上列的本發明特點,參考具體實施例可得本發明之較特定說明,其中一些描述於附圖。 For a more detailed description of the features of the invention as set forth above, reference may be made to the particular embodiments of the invention,
然而應注意,附圖僅描述本發明之典型具體實施例,因此不視為限制其範圍,因而本發明可承認其他同等有效的具體實施例。 It is to be understood, however, that the appended claims
第1A-1E圖為描述依照本發明之具體實施例製造積體電路之各階段的橫切面。 1A-1E is a cross-sectional view depicting stages of fabrication of an integrated circuit in accordance with an embodiment of the present invention.
本發明之一具體實施例揭示一種互連製造用之氫氟碳化物氣體輔助電漿蝕刻之方法及裝置。金屬互連一般在製造積體電路的線路後端(BEOL)階段期間形成。例如可使用利用氟碳化物氣體之乾燥蝕刻製程界定 積體電路之介電層中的渠。然後將導電性金屬沈積在渠中形成互連。使用低聚合氟碳化物氣體(如四氟化碳(CF4)、六氟化硫(SF6)、或三氟化氮(NF3))可界定提供良好的線對通孔連接之渠;然而,此氣體對硬式光罩層為非選擇性,且趨於無法維持互連之圖案尺寸。使用高聚合氟碳化物氣體(如全氟環丁烷(C4F8)、六氟丁二烯(C4F6)、八氟環戊烯(C5F8)、氟甲烷(CH3F)、氟仿(CHF3)、或七氟環戊烯(C5HF7))可得到較佳的選擇性,且維持圖案尺寸;然而,這些氣體趨於為縱橫比關聯性蝕刻,且蝕刻停止造成線對通孔連接不良。其可添加額外的氣體以促進解離、混合,且改良生成的線路外形,如氬(Ar)、氮(N2)、一氧化碳(CO)、氧(O2)、二氧化碳(CO2)等。 One embodiment of the present invention discloses a method and apparatus for assisting plasma etching of a hydrofluorocarbon gas for interconnect fabrication. Metal interconnects are typically formed during the line back end (BEOL) phase of fabricating integrated circuits. For example, a dry etching process using a fluorocarbon gas can be used to define a channel in the dielectric layer of the integrated circuit. Conductive metals are then deposited in the channels to form interconnects. The use of oligomeric fluorocarbon gases such as carbon tetrafluoride (CF 4 ), sulfur hexafluoride (SF 6 ), or nitrogen trifluoride (NF 3 ) can define channels that provide good wire-to-via connections; However, this gas is non-selective to the hard mask layer and tends to be unable to maintain the interconnect pattern size. Use of highly polymerized fluorocarbon gases (eg perfluorocyclobutane (C 4 F 8 ), hexafluorobutadiene (C 4 F 6 ), octafluorocyclopentene (C 5 F 8 ), fluoromethane (CH 3 ) F), fluoroform (CHF 3 ), or heptafluorocyclopentene (C 5 HF 7 )) may give better selectivity and maintain pattern size; however, these gases tend to be aspect ratio related etching, and The etch stop causes a poor connection of the wire to the via. It can add additional gases to promote dissociation, mixing, and improve the resulting line profile, such as argon (Ar), nitrogen (N 2 ), carbon monoxide (CO), oxygen (O 2 ), carbon dioxide (CO 2 ), and the like.
本發明之具體實施例使用強烈介電蝕刻氣體與聚合蝕刻氣體的混合物,將渠圖案蝕刻入積體電路之介電層中。在本發明之內文中應了解,強烈介電蝕刻氣體為殘留最低限度的沈積之快速作用,非選擇性化學蝕刻劑。聚合蝕刻氣體將渠之垂直側壁鈍化,及保護其不被強烈介電蝕刻氣體損壞及橫向蝕刻。生成的渠維持目標圖案尺寸及側壁外形,且可形成小而稠密的圖案。此外,該蝕刻可快速實行,且使反應性離子蝕刻滯後為最低限度到無。 Embodiments of the present invention etch a channel pattern into a dielectric layer of an integrated circuit using a mixture of a strong dielectric etch gas and a polymeric etch gas. It will be appreciated in the context of the present invention that a strongly dielectric etch gas is a fast acting, non-selective chemical etchant for minimal residual deposition. The polymeric etch gas deactivates the vertical sidewalls of the trench and protects it from damage by strong dielectric etch gases and lateral etch. The resulting channels maintain the target pattern size and sidewall profile and form a small, dense pattern. In addition, the etch can be performed quickly and the reactive ion etch lags from minimal to none.
第1A-1E圖為描述依照本發明之具體實施例製造積體電路100之各階段的橫切面。因此,第1A-1E圖亦概括地作為描述一種依照本發明製造積體電路100之方法的一具體實施例之各部分的流程圖。 1A-1E is a cross-sectional view depicting stages of fabrication of integrated circuit 100 in accordance with an embodiment of the present invention. Accordingly, FIG. 1A-1E is also generally a flow chart depicting portions of a particular embodiment of a method of fabricating integrated circuit 100 in accordance with the present invention.
特定而言,第1A圖描述在處理中間階段的積體電路100,即在線路前端處理結束之後但在線路後端處理結束之前。換言之,積體電路100開始不為第1A圖所描述的形式,而是經過數個未描述但所屬技術領域者熟知的處理步驟,可發展成為所描述的結構。 In particular, FIG. 1A depicts the integrated circuit 100 in the intermediate stage of processing, that is, after the line front end processing ends but before the line back end processing ends. In other words, the integrated circuit 100 begins not in the form described in FIG. 1A, but can be developed into the described structure through a number of processing steps that are not described but are well known to those skilled in the art.
積體電路100通常包含前端102,其可包括半導體晶圓104(例如由結晶矽(Si)、鍺(Ge)、鍺化矽(SiGe)、砷化鎵(GaAs)、或其他的半導體材料形成),及形成於半導體晶圓104上的個別、未連接結構之圖案,如閘極1061-106n之圖案(以下概括地稱為「閘極106」)。閘極106可包含許多個電晶體及/或其他的半導體裝置。為了清晰起見,積體電路100之前端102係以簡化形式描述。 The integrated circuit 100 generally includes a front end 102 that may include a semiconductor wafer 104 (eg, formed of crystalline germanium (Si), germanium (Ge), germanium telluride (SiGe), gallium arsenide (GaAs), or other semiconductor materials. And a pattern of individual, unconnected structures formed on the semiconductor wafer 104, such as a pattern of gates 106 1 - 106 n (hereinafter collectively referred to as "gate 106"). Gate 106 can include a plurality of transistors and/or other semiconductor devices. For the sake of clarity, the front end 102 of the integrated circuit 100 is described in simplified form.
本發明之一具體實施例將覆蓋層108沈積在前端102上。在一具體實施例中,覆蓋層108可例如由氮化矽(SiN)、碳氮化矽(SiCN)、或氧碳氮化矽(SiCxNyOz)形成。覆蓋層108可例如經由電漿強化化學氣相沈積(PECVD)沈積。介電層110被直接沈積在覆蓋層108上,且可由多孔性介電材料形成,如低k介電體、超低k介電體(ULK)、二氧化矽(SiO2)、或其他的介電材料。介電層110亦可經由PECVD沈積。然後將光罩層112直接沈積在介電層110上。光罩層112可由金屬、由氮化矽(SiN)、由光阻、或由其他的材料形成。在一實例中,光罩層112包含多層光罩,如三層光阻(其可包含例如光阻層、含矽抗反射塗層(SiARC)、及碳硬式光罩層)。光罩 層112若由光阻形成,則可經由旋塗沈積。概括而言,覆蓋層108、介電層110、及光罩層112形成積體電路100之後端114之開始。 One embodiment of the present invention deposits a cap layer 108 on the front end 102. In a specific embodiment, the cap layer 108 can be formed, for example, of tantalum nitride (SiN), tantalum carbonitride (SiCN), or hafnium carbonitride (SiC x N y O z ). The cap layer 108 can be deposited, for example, via plasma enhanced chemical vapor deposition (PECVD). The dielectric layer 110 is deposited directly on the cap layer 108 and may be formed of a porous dielectric material such as a low-k dielectric, an ultra-low-k dielectric (ULK), cerium oxide (SiO 2 ), or the like. Dielectric material. Dielectric layer 110 can also be deposited via PECVD. The mask layer 112 is then deposited directly onto the dielectric layer 110. The mask layer 112 may be formed of a metal, a tantalum nitride (SiN), a photoresist, or other materials. In one example, the reticle layer 112 comprises a multilayer reticle, such as a three layer photoresist (which may include, for example, a photoresist layer, a germanium containing anti-reflective coating (SiARC), and a carbon hard mask layer). The photomask layer 112, if formed of a photoresist, can be deposited via spin coating. In summary, the cap layer 108, the dielectric layer 110, and the photomask layer 112 form the beginning of the rear end 114 of the integrated circuit 100.
如第1B圖所描述,光罩層112被圖案化而暴露部分介電層110。在一具體實施例中,光罩層112係使用微影術技術圖案化,如光學微影術或電子束直接寫入微影術。在一具體實施例中,該微影術技術包括正型光阻而可移除光罩層112直到介電層110,除了第1B圖所描述的光罩層112部分。 As depicted in FIG. 1B, the mask layer 112 is patterned to expose portions of the dielectric layer 110. In one embodiment, the mask layer 112 is patterned using lithography techniques such as optical lithography or electron beam direct writing lithography. In one embodiment, the lithography technique includes a positive photoresist to remove the mask layer 112 up to the dielectric layer 110, except for the portion of the mask layer 112 described in FIG. 1B.
如第1C圖所描述,其次使用強烈介電蝕刻氣體與聚合蝕刻氣體的混合物蝕刻介電層110。因此,將強烈介電蝕刻氣體及聚合蝕刻氣體以混合物同時施加。該強烈介電蝕刻氣體可包含例如氟碳化物氣體,如四氟化碳(CF4)、六氟化硫(SF6)、或三氟化氮(NF3)。該聚合蝕刻氣體可包含例如氫氟碳化物氣體化合物,如七氟環戊烯(C5HF7)。在其他實例中,該聚合蝕刻氣體可包含全氟環丁烷(C4F8)、六氟丁二烯(C4F6)、八氟環戊烯(C5F8)、氟甲烷(CH3F)、或氟仿(CHF3)。在一具體實施例中,該氣體混合物包含大約20至40份強烈介電蝕刻氣體對1份聚合蝕刻氣體。該比例可如所需基於設計要求而調整。例如當希望互連較寬時,可使用較高濃度之強烈介電蝕刻氣體。大部分比例會在攝氏-10℃至攝氏60℃之範圍內產生所欲結果。 Dielectric layer 110 is etched using a mixture of a strong dielectric etch gas and a polymeric etch gas, as depicted in FIG. 1C. Therefore, a strong dielectric etching gas and a polymeric etching gas are simultaneously applied as a mixture. The strongly dielectric etching gas may comprise, for example, a fluorocarbon gas such as carbon tetrafluoride (CF 4 ), sulfur hexafluoride (SF 6 ), or nitrogen trifluoride (NF 3 ). The polymeric etching gas may comprise, for example, a hydrofluorocarbon gas compound such as heptafluorocyclopentene (C 5 HF 7 ). In other examples, the polymeric etching gas may comprise perfluorocyclobutane (C 4 F 8 ), hexafluorobutadiene (C 4 F 6 ), octafluorocyclopentene (C 5 F 8 ), fluoromethane ( CH 3 F), or fluoroform (CHF 3 ). In a specific embodiment, the gas mixture comprises from about 20 to about 40 parts of a strong dielectric etch gas to one part of a polymeric etch gas. This ratio can be adjusted as needed based on design requirements. For example, when a wider interconnect is desired, a higher concentration of strongly dielectric etch gas can be used. Most of the ratio will produce the desired result in the range of -10 ° C to 60 ° C.
該強烈蝕刻氣體移除非直接在光罩層112之殘餘部分下方的介電層110部分,直到覆蓋層108,其 作為選擇性蝕刻停止層。該蝕刻在介電層110中形成一條或以上的渠1161-116m(以下概括地稱為「渠116」)。隨著強烈蝕刻氣體移除介電材料,聚合蝕刻氣體在渠116之暴露的垂直側壁上沈積富碳層(如鈍化層118所描述)。因此,鈍化層118保護部分多孔性介電層110不由於長期暴露於強烈蝕刻氣體而損傷。 The intense etching gas removes portions of the dielectric layer 110 that are not directly under the residual portion of the mask layer 112 until the capping layer 108 acts as a selective etch stop layer. The etch forms one or more channels 116 1 - 116 m (hereinafter collectively referred to as "channels 116") in the dielectric layer 110. As the etch gas removes the dielectric material, the polymeric etch gas deposits a carbon-rich layer on the exposed vertical sidewalls of the trench 116 (as described by passivation layer 118). Thus, the passivation layer 118 protects a portion of the porous dielectric layer 110 from damage due to prolonged exposure to strong etching gases.
如第1D圖所描述,其次提取覆蓋層112(例如經由電漿蝕刻)及濕式清潔。如此亦造成鈍化層118被移除。然後將導電性金屬層120直接沈積在介電層110上。導電性金屬層120填充介電層110中的渠116,因而在積體電路100之後端114中形成細微線路或互連的圖案。導電性金屬層120可包含例如銅(Cu)、銅合金、金(Au)、鎳(Ni)、鈷(Co)、銀(Ag)、釕(Ru)、或不易形成揮發性物種之任何其他材料。沈積導電性金屬層120可經由電鍍及/或其他的沈積技術實行,且可在或不在其之前先進行在介電層110上沈積屏障層。 As described in FIG. 1D, the cap layer 112 is next extracted (eg, via plasma etching) and wet cleaned. This also causes the passivation layer 118 to be removed. Conductive metal layer 120 is then deposited directly onto dielectric layer 110. The conductive metal layer 120 fills the trench 116 in the dielectric layer 110, thus forming a pattern of fine lines or interconnects in the rear end 114 of the integrated circuit 100. The conductive metal layer 120 may include, for example, copper (Cu), a copper alloy, gold (Au), nickel (Ni), cobalt (Co), silver (Ag), ruthenium (Ru), or any other that does not easily form a volatile species. material. Depositing the conductive metal layer 120 can be performed via electroplating and/or other deposition techniques, and the barrier layer can be deposited on the dielectric layer 110 with or without prior thereto.
如第1E圖所描述,其次將導電性金屬層120平坦化(例如經由化學機械平坦化或其他技術)直到介電層110。殘餘的導電性金屬層部分(例如填充渠的部分)形成薄導電線路或互連1221-122m(以下概括地稱為「互連122」)之圖案。 As described in FIG. 1E, the conductive metal layer 120 is then planarized (eg, via chemical mechanical planarization or other techniques) up to the dielectric layer 110. Portions of the remaining conductive metal layers (e.g., portions of the fill channels) form a pattern of thin conductive traces or interconnects 122 1 - 122 m (hereinafter collectively referred to as "interconnects 122").
接著可為額外的製造步驟而形成積體電路100之額外層。例如可使用上述技術及/其他技術形成額外的互連之層。此外,可使用上述製造互連之製程製造積體電路100之其他組件,包括通孔及接點。因此,所揭示的技術之應用不限於互連之製造。 Additional layers of integrated circuit 100 can then be formed for additional fabrication steps. Additional layers of interconnects may be formed, for example, using the techniques described above and/or other techniques. In addition, other components of the integrated circuit 100, including vias and contacts, can be fabricated using the fabrication process described above. Thus, the application of the disclosed technology is not limited to the fabrication of interconnects.
由於垂直渠側壁被聚合蝕刻氣體鈍化,故如所描述的製程之結果而形成的互連具有尺寸(例如渠及通孔寬度)精確的平穩、均勻外形。如此可製造高良率互連之小而稠密圖案。例如可得到節距小到大約28奈米之圖案密度。此外,聚合蝕刻氣體與強烈介電蝕刻氣體的混合物減少圖案關聯性蝕刻速率之發生。由於強烈介電蝕刻氣體形成大部分的蝕刻氣體混合物,渠之垂直蝕刻速率實質上相當快速;然而,聚合蝕刻氣體中的氫移除強烈介電蝕刻氣體中的一些氟,且可在渠側壁上沈積較富碳層。 Since the sidewalls of the vertical trench are passivated by the polymeric etch gas, the interconnect formed as a result of the described process has a precise, smooth, uniform profile of dimensions (e.g., channel and via width). This makes it possible to make small, dense patterns of high yield interconnects. For example, a pattern density with a pitch as small as about 28 nm can be obtained. In addition, the mixture of polymeric etching gas and strongly dielectric etching gas reduces the occurrence of pattern-dependent etch rates. Since the strong dielectric etch gas forms a majority of the etching gas mixture, the vertical etch rate of the channel is substantially faster; however, the hydrogen in the polymeric etch gas removes some of the fluorine in the strongly dielectric etch gas and can be on the sidewall of the trench Deposit a carbon rich layer.
雖然以上係關於本發明之具體實施例,但可設想本發明之其他及進一步具體實施例(如有關接點及通孔高度蝕刻之具體實施例)而不背離本發明之基本範圍。在此提出的各種具體實施例或其部分均可結合而產生進一步具體實施例。此外,如頂、側、底、前、後等術語為相對性或位置術語,且係針對圖式中所描述的例示性具體實施例而使用,因此這些術語可互換。 While the above is a specific embodiment of the invention, other and further embodiments of the invention (such as specific examples of contact and via height etching) are contemplated without departing from the basic scope of the invention. Various specific embodiments or portions thereof set forth herein can be combined to yield further specific embodiments. Further, terms such as top, side, bottom, front, and back are relative or positional terms and are used with respect to the illustrative embodiments described in the drawings, such terms are interchangeable.
Claims (20)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
TW105134670A TW201816882A (en) | 2016-10-27 | 2016-10-27 | Hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
TW105134670A TW201816882A (en) | 2016-10-27 | 2016-10-27 | Hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication |
Publications (1)
Publication Number | Publication Date |
---|---|
TW201816882A true TW201816882A (en) | 2018-05-01 |
Family
ID=62949554
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105134670A TW201816882A (en) | 2016-10-27 | 2016-10-27 | Hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication |
Country Status (1)
Country | Link |
---|---|
TW (1) | TW201816882A (en) |
-
2016
- 2016-10-27 TW TW105134670A patent/TW201816882A/en unknown
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9761488B2 (en) | Method for cleaning via of interconnect structure of semiconductor device structure | |
US7319274B2 (en) | Methods for selective integration of airgaps and devices made by such methods | |
TWI529885B (en) | Integrated circuits structure and method of manufacturing the same | |
CN106558535B (en) | Method of forming metal interconnection | |
TW201939666A (en) | Semiconductor structures and methods for semiconductor processing | |
US20060246717A1 (en) | Method for fabricating a dual damascene and polymer removal | |
JP2006041519A (en) | Manufacturing method of dual damascene wiring | |
KR101027172B1 (en) | Dry Etch Bags for Interconnect Contacts | |
US20120289043A1 (en) | Method for forming damascene trench structure and applications thereof | |
US9064727B2 (en) | Sputter and surface modification etch processing for metal patterning in integrated circuits | |
US8980745B1 (en) | Interconnect structures and methods of forming same | |
US20030181034A1 (en) | Methods for forming vias and trenches with controlled SiC etch rate and selectivity | |
US7488687B2 (en) | Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers | |
US10643859B2 (en) | Hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication | |
US9484220B2 (en) | Sputter etch processing for heavy metal patterning in integrated circuits | |
US20050239286A1 (en) | Two-step stripping method for removing via photoresist during the fabrication of partial-via dual damascene features | |
US20140124935A1 (en) | Sputter and surface modification etch processing for metal patterning in integrated circuits | |
US10074731B2 (en) | Method for forming semiconductor device structure | |
CN107452675B (en) | Method for protecting via hole by using sacrificial barrier layer during trench formation | |
TW201816882A (en) | Hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication | |
US11955329B2 (en) | Method of forming conductive feature including cleaning step | |
CN113097127A (en) | Method for forming semiconductor structure |