这是indexloc提供的服务,不要输入任何密码

BibTeX records: Kanak Agarwal 0001

download as .bib file

@inproceedings{DBLP:conf/hotedge/FerreiraHAGMNPA20,
  author       = {Alexandre Ferreira and
                  Eric Van Hensbergen and
                  Chris Adeniyi{-}Jones and
                  Edmund Grimley{-}Evans and
                  Josh Minor and
                  Mark Nutter and
                  Luis E. Pe{\~{n}}a and
                  Kanak Agarwal and
                  Jon Hermes},
  title        = {{SMARTER:} Experiences with Cloud Native on the Edge},
  booktitle    = {HotEdge},
  publisher    = {{USENIX} Association},
  year         = {2020}
}
@article{DBLP:journals/ibmrd/GheithRBAKEHCK16,
  author       = {Ahmed Gheith and
                  Ramakrishnan Rajamony and
                  Patrick J. Bohrer and
                  Kanak Agarwal and
                  Michael Kistler and
                  B. L. White Eagle and
                  C. A. Hambridge and
                  John B. Carter and
                  T. Kaplinger},
  title        = {{IBM} Bluemix Mobile Cloud Services},
  journal      = {{IBM} J. Res. Dev.},
  volume       = {60},
  number       = {2-3},
  year         = {2016}
}
@inproceedings{DBLP:conf/sigcomm/HeRAGFCA16,
  author       = {Keqiang He and
                  Eric Rozner and
                  Kanak Agarwal and
                  Yu (Jason) Gu and
                  Wes Felter and
                  John B. Carter and
                  Aditya Akella},
  title        = {{AC/DC} {TCP:} Virtual Congestion Control Enforcement for Datacenter
                  Networks},
  booktitle    = {{SIGCOMM}},
  pages        = {244--257},
  publisher    = {{ACM}},
  year         = {2016}
}
@inproceedings{DBLP:conf/irps/RobertazziAWT15,
  author       = {Raphael Robertazzi and
                  Kanak Agarwal and
                  Bucknell Webb and
                  Christy Tyberg},
  title        = {{TSV/FET} proximity study using dense addressable transistor arrays},
  booktitle    = {{IRPS}},
  pages        = {3},
  publisher    = {{IEEE}},
  year         = {2015}
}
@inproceedings{DBLP:conf/sigcomm/HeRAFCA15,
  author       = {Keqiang He and
                  Eric Rozner and
                  Kanak Agarwal and
                  Wes Felter and
                  John B. Carter and
                  Aditya Akella},
  title        = {Presto: Edge-based Load Balancing for Fast Datacenter Networks},
  booktitle    = {{SIGCOMM}},
  pages        = {465--478},
  publisher    = {{ACM}},
  year         = {2015}
}
@inproceedings{DBLP:conf/icws/Agarwal14,
  author       = {Kanak Agarwal},
  title        = {Wire-Speed Differential {SOAP} Encoding},
  booktitle    = {{ICWS}},
  pages        = {217--224},
  publisher    = {{IEEE} Computer Society},
  year         = {2014}
}
@inproceedings{DBLP:conf/ons/RasleySDRFACF14,
  author       = {Jeff Rasley and
                  Brent E. Stephens and
                  Colin Dixon and
                  Eric Rozner and
                  Wes Felter and
                  Kanak Agarwal and
                  John B. Carter and
                  Rodrigo Fonseca},
  title        = {Low-latency Network Monitoring via Oversubscribed Port Mirroring},
  booktitle    = {{ONS}},
  publisher    = {{USENIX} Association},
  year         = {2014}
}
@inproceedings{DBLP:conf/sigcomm/AgarwalRDC14,
  author       = {Kanak Agarwal and
                  Eric Rozner and
                  Colin Dixon and
                  John B. Carter},
  title        = {{SDN} traceroute: tracing {SDN} forwarding without changing network
                  behavior},
  booktitle    = {HotSDN},
  pages        = {145--150},
  publisher    = {{ACM}},
  year         = {2014}
}
@inproceedings{DBLP:conf/sigcomm/AgarwalDRC14,
  author       = {Kanak Agarwal and
                  Colin Dixon and
                  Eric Rozner and
                  John B. Carter},
  title        = {Shadow MACs: scalable label-switching for commodity ethernet},
  booktitle    = {HotSDN},
  pages        = {157--162},
  publisher    = {{ACM}},
  year         = {2014}
}
@inproceedings{DBLP:conf/sigcomm/RasleySDRFABF14,
  author       = {Jeff Rasley and
                  Brent E. Stephens and
                  Colin Dixon and
                  Eric Rozner and
                  Wes Felter and
                  Kanak Agarwal and
                  John B. Carter and
                  Rodrigo Fonseca},
  title        = {Planck: millisecond-scale monitoring and control for commodity networks},
  booktitle    = {{SIGCOMM}},
  pages        = {407--418},
  publisher    = {{ACM}},
  year         = {2014}
}
@article{DBLP:journals/tcad/GhaidaANYLG13,
  author       = {Rani S. Ghaida and
                  Kanak B. Agarwal and
                  Sani R. Nassif and
                  Xin Yuan and
                  Lars Liebmann and
                  Puneet Gupta},
  title        = {Layout Decomposition and Legalization for Double-Patterning Technology},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {32},
  number       = {2},
  pages        = {202--215},
  year         = {2013}
}
@inproceedings{DBLP:conf/asap/AgarwalP13,
  author       = {Kanak Agarwal and
                  Raphael Polig},
  title        = {A high-speed and large-scale dictionary matching engine for Information
                  Extraction systems},
  booktitle    = {{ASAP}},
  pages        = {59--66},
  publisher    = {{IEEE} Computer Society},
  year         = {2013}
}
@inproceedings{DBLP:conf/aspdac/JoshiAS12,
  author       = {Vivek Joshi and
                  Kanak Agarwal and
                  Dennis Sylvester},
  title        = {Design-patterning co-optimization of {SRAM} robustness for double
                  patterning lithography},
  booktitle    = {{ASP-DAC}},
  pages        = {713--718},
  publisher    = {{IEEE}},
  year         = {2012}
}
@inproceedings{DBLP:conf/icicdt/AgarwalB12,
  author       = {Kanak B. Agarwal and
                  Shayak Banerjee},
  title        = {Design driven patterning optimizations for low {K1} lithography},
  booktitle    = {{ICICDT}},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012}
}
@inproceedings{DBLP:conf/icicdt/GhaidaANYLG12,
  author       = {Rani S. Ghaida and
                  Kanak B. Agarwal and
                  Sani R. Nassif and
                  Xin Yuan and
                  Lars W. Liebmann and
                  Puneet Gupta},
  title        = {O(n) layout-coloring for multiple-patterning lithography and conflict-removal
                  using compaction},
  booktitle    = {{ICICDT}},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012}
}
@inproceedings{DBLP:conf/ispd/BanerjeeAN12,
  author       = {Shayak Banerjee and
                  Kanak B. Agarwal and
                  Sani R. Nassif},
  title        = {Design-aware lithography},
  booktitle    = {{ISPD}},
  pages        = {3--8},
  publisher    = {{ACM}},
  year         = {2012}
}
@inproceedings{DBLP:conf/cicc/BanerjeeAN11,
  author       = {Shayak Banerjee and
                  Kanak B. Agarwal and
                  Sani R. Nassif},
  title        = {Electrically-driven retargeting for nanoscale layouts},
  booktitle    = {{CICC}},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2011}
}
@inproceedings{DBLP:conf/dac/AarestadLPAA11,
  author       = {Jim Aarestad and
                  Charles Lamech and
                  Jim Plusquellic and
                  Dhruva Acharyya and
                  Kanak Agarwal},
  title        = {Characterizing within-die and die-to-die delay variations introduced
                  by process variations and {SOI} history effect},
  booktitle    = {{DAC}},
  pages        = {534--539},
  publisher    = {{ACM}},
  year         = {2011}
}
@inproceedings{DBLP:conf/iccad/GhaidaANYLG11,
  author       = {Rani S. Ghaida and
                  Kanak B. Agarwal and
                  Sani R. Nassif and
                  Xin Yuan and
                  Lars Liebmann and
                  Puneet Gupta},
  title        = {A framework for double patterning-enabled design},
  booktitle    = {{ICCAD}},
  pages        = {14--20},
  publisher    = {{IEEE} Computer Society},
  year         = {2011}
}
@inproceedings{DBLP:conf/iccad/LamechAPRA11,
  author       = {Charles Lamech and
                  Jim Aarestad and
                  Jim Plusquellic and
                  Reza M. Rad and
                  Kanak Agarwal},
  title        = {{REBEL} and {TDC:} Two embedded test structures for on-chip measurements
                  of within-die path delay variations},
  booktitle    = {{ICCAD}},
  pages        = {170--177},
  publisher    = {{IEEE} Computer Society},
  year         = {2011}
}
@inproceedings{DBLP:conf/iccad/KanjLJASWN11,
  author       = {Rouwaida Kanj and
                  Tong Li and
                  Rajiv V. Joshi and
                  Kanak Agarwal and
                  Ali Sadigh and
                  David Winston and
                  Sani R. Nassif},
  title        = {Accelerated statistical simulation via on-demand Hermite spline interpolations},
  booktitle    = {{ICCAD}},
  pages        = {353--360},
  publisher    = {{IEEE} Computer Society},
  year         = {2011}
}
@inproceedings{DBLP:conf/isqed/BanerjeeANCLO11,
  author       = {Shayak Banerjee and
                  Kanak B. Agarwal and
                  Sani R. Nassif and
                  James A. Culp and
                  Lars Liebmann and
                  Michael Orshansky},
  title        = {Coupling timing objectives with optical proximity correction for improved
                  timing yield},
  booktitle    = {{ISQED}},
  pages        = {97--102},
  publisher    = {{IEEE}},
  year         = {2011}
}
@inproceedings{DBLP:conf/isqed/PlusquellicAA11,
  author       = {Jim Plusquellic and
                  Dhruva Acharyya and
                  Kanak Agarwal},
  title        = {Measuring within-die spatial variation profile through power supply
                  current measurements},
  booktitle    = {{ISQED}},
  pages        = {711--715},
  publisher    = {{IEEE}},
  year         = {2011}
}
@article{DBLP:journals/tcad/JoshiCSBA10,
  author       = {Vivek Joshi and
                  Brian Cline and
                  Dennis Sylvester and
                  David T. Blaauw and
                  Kanak Agarwal},
  title        = {Mechanical Stress Aware Optimization for Leakage Power Reduction},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {29},
  number       = {5},
  pages        = {722--736},
  year         = {2010}
}
@article{DBLP:journals/tvlsi/SinghRASB10,
  author       = {Harmander Singh and
                  Rahul M. Rao and
                  Kanak Agarwal and
                  Dennis Sylvester and
                  Richard B. Brown},
  title        = {Dynamically Pulsed {MTCMOS} With Bus Encoding for Reduction of Total
                  Power and Crosstalk Noise},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {18},
  number       = {1},
  pages        = {166--170},
  year         = {2010}
}
@inproceedings{DBLP:conf/aspdac/JoshiASB10,
  author       = {Vivek Joshi and
                  Kanak Agarwal and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {Analyzing electrical effects of RTA-driven local anneal temperature
                  variation},
  booktitle    = {{ASP-DAC}},
  pages        = {739--744},
  publisher    = {{IEEE}},
  year         = {2010}
}
@inproceedings{DBLP:conf/cicc/BanerjeeAO10,
  author       = {Shayak Banerjee and
                  Kanak B. Agarwal and
                  Michael Orshansky},
  title        = {Ground rule slack aware tolerance-driven optical proximity correction
                  for local metal interconnects},
  booktitle    = {{CICC}},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2010}
}
@inproceedings{DBLP:conf/dac/Agarwal10,
  author       = {Kanak Agarwal},
  title        = {Frequency domain decomposition of layouts for double dipole lithography},
  booktitle    = {{DAC}},
  pages        = {404--407},
  publisher    = {{ACM}},
  year         = {2010}
}
@inproceedings{DBLP:conf/dac/JoshiSTASB10,
  author       = {Vivek Joshi and
                  Valeriy Sukharev and
                  Andres Torres and
                  Kanak Agarwal and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {Closed-form modeling of layout-dependent mechanical stress},
  booktitle    = {{DAC}},
  pages        = {673--678},
  publisher    = {{ACM}},
  year         = {2010}
}
@inproceedings{DBLP:conf/date/BanerjeeASNO10,
  author       = {Shayak Banerjee and
                  Kanak B. Agarwal and
                  Chin Ngai Sze and
                  Sani R. Nassif and
                  Michael Orshansky},
  title        = {A methodology for propagating design tolerances to shape tolerances
                  for use in manufacturing},
  booktitle    = {{DATE}},
  pages        = {1273--1278},
  publisher    = {{IEEE} Computer Society},
  year         = {2010}
}
@inproceedings{DBLP:conf/glvlsi/Agarwal10,
  author       = {Kanak Agarwal},
  title        = {On-die sensors for measuring process and environmental variations
                  in integrated circuits},
  booktitle    = {{ACM} Great Lakes Symposium on {VLSI}},
  pages        = {147--150},
  publisher    = {{ACM}},
  year         = {2010}
}
@inproceedings{DBLP:conf/iccad/JoshiABS10,
  author       = {Vivek Joshi and
                  Kanak Agarwal and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {Analysis and optimization of {SRAM} robustness for double patterning
                  lithography},
  booktitle    = {{ICCAD}},
  pages        = {25--31},
  publisher    = {{IEEE}},
  year         = {2010}
}
@inproceedings{DBLP:conf/iccad/BanerjeeAO10,
  author       = {Shayak Banerjee and
                  Kanak B. Agarwal and
                  Michael Orshansky},
  title        = {{SMATO:} Simultaneous mask and target optimization for improving lithographic
                  process window},
  booktitle    = {{ICCAD}},
  pages        = {100--106},
  publisher    = {{IEEE}},
  year         = {2010}
}
@inproceedings{DBLP:conf/iccad/ZhuoABS10,
  author       = {Cheng Zhuo and
                  Kanak Agarwal and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {Active learning framework for post-silicon variation extraction and
                  test cost reduction},
  booktitle    = {{ICCAD}},
  pages        = {508--515},
  publisher    = {{IEEE}},
  year         = {2010}
}
@inproceedings{DBLP:conf/isqed/JoshiAS10,
  author       = {Vivek Joshi and
                  Kanak Agarwal and
                  Dennis Sylvester},
  title        = {Simultaneous extraction of effective gate length and low-field mobility
                  in non-uniform devices},
  booktitle    = {{ISQED}},
  pages        = {158--162},
  publisher    = {{IEEE}},
  year         = {2010}
}
@inproceedings{DBLP:conf/itc/AcharyyaAP10,
  author       = {Dhruva Acharyya and
                  Kanak Agarwal and
                  Jim Plusquellic},
  title        = {Leveraging existing power control circuits and power delivery architecture
                  for variability measurement},
  booktitle    = {{ITC}},
  pages        = {645--653},
  publisher    = {{IEEE} Computer Society},
  year         = {2010}
}
@inproceedings{DBLP:conf/iccad/AgarwalAP09,
  author       = {Kanak Agarwal and
                  Dhruva Acharyya and
                  Jim Plusquellic},
  title        = {Characterizing within-die variation from multiple supply port {IDDQ}
                  measurements},
  booktitle    = {{ICCAD}},
  pages        = {418--424},
  publisher    = {{ACM}},
  year         = {2009}
}
@inproceedings{DBLP:conf/isqed/ZhouKALJNS09,
  author       = {Nancy Ying Zhou and
                  Rouwaida Kanj and
                  Kanak Agarwal and
                  Zhuo Li and
                  Rajiv V. Joshi and
                  Sani R. Nassif and
                  Weiping Shi},
  title        = {The impact of {BEOL} lithography effects on the {SRAM} cell performance
                  and yield},
  booktitle    = {{ISQED}},
  pages        = {607--612},
  publisher    = {{IEEE} Computer Society},
  year         = {2009}
}
@article{DBLP:journals/integration/SylvesterAS08,
  author       = {Dennis Sylvester and
                  Kanak Agarwal and
                  Saumil Shah},
  title        = {Variability in nanometer {CMOS:} Impact, analysis, and minimization},
  journal      = {Integr.},
  volume       = {41},
  number       = {3},
  pages        = {319--339},
  year         = {2008}
}
@article{DBLP:journals/tvlsi/AgarwalN08,
  author       = {Kanak Agarwal and
                  Sani R. Nassif},
  title        = {The Impact of Random Device Variation on {SRAM} Cell Stability in
                  Sub-90-nm {CMOS} Technologies},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {16},
  number       = {1},
  pages        = {86--97},
  year         = {2008}
}
@inproceedings{DBLP:conf/cicc/NowkaNA08,
  author       = {Kevin J. Nowka and
                  Sani R. Nassif and
                  Kanak Agarwal},
  title        = {Characterization and design for variability and reliability},
  booktitle    = {{CICC}},
  pages        = {341--346},
  publisher    = {{IEEE}},
  year         = {2008}
}
@inproceedings{DBLP:conf/dac/JoshiCSBA08,
  author       = {Vivek Joshi and
                  Brian Cline and
                  Dennis Sylvester and
                  David T. Blaauw and
                  Kanak Agarwal},
  title        = {Leakage power reduction using stress-enhanced layouts},
  booktitle    = {{DAC}},
  pages        = {912--917},
  publisher    = {{ACM}},
  year         = {2008}
}
@inproceedings{DBLP:conf/ispd/JoshiCSBA08,
  author       = {Vivek Joshi and
                  Brian Cline and
                  Dennis Sylvester and
                  David T. Blaauw and
                  Kanak Agarwal},
  title        = {Stress aware layout optimization},
  booktitle    = {{ISPD}},
  pages        = {168--174},
  publisher    = {{ACM}},
  year         = {2008}
}
@inproceedings{DBLP:conf/isqed/WangANNM08,
  author       = {Victoria Wang and
                  Kanak Agarwal and
                  Sani R. Nassif and
                  Kevin J. Nowka and
                  Dejan Markovic},
  title        = {A Design Model for Random Process Variability},
  booktitle    = {{ISQED}},
  pages        = {734--737},
  publisher    = {{IEEE} Computer Society},
  year         = {2008}
}
@article{DBLP:journals/tvlsi/AgarwalRSB07,
  author       = {Kanak Agarwal and
                  Rahul M. Rao and
                  Dennis Sylvester and
                  Richard B. Brown},
  title        = {Parametric Yield Analysis and Optimization in Leakage Dominated Technologies},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {15},
  number       = {6},
  pages        = {613--623},
  year         = {2007}
}
@article{DBLP:journals/tvlsi/SinghASN07,
  author       = {Harmander Singh and
                  Kanak Agarwal and
                  Dennis Sylvester and
                  Kevin J. Nowka},
  title        = {Enhanced Leakage Reduction Techniques Using Intermediate Strength
                  Power Gating},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {15},
  number       = {11},
  pages        = {1215--1224},
  year         = {2007}
}
@inproceedings{DBLP:conf/dac/AgarwalN07,
  author       = {Kanak Agarwal and
                  Sani R. Nassif},
  title        = {Characterizing Process Variation in Nanometer {CMOS}},
  booktitle    = {{DAC}},
  pages        = {396--399},
  publisher    = {{IEEE}},
  year         = {2007}
}
@inproceedings{DBLP:conf/esscirc/ZhaoCLAANN07,
  author       = {Wei Zhao and
                  Yu Cao and
                  Frank Liu and
                  Kanak Agarwal and
                  Dhruva Acharyya and
                  Sani R. Nassif and
                  Kevin J. Nowka},
  title        = {Rigorous extraction of process variations for 65nm {CMOS} design},
  booktitle    = {{ESSCIRC}},
  pages        = {89--92},
  publisher    = {{IEEE}},
  year         = {2007}
}
@inproceedings{DBLP:conf/iccad/AgarwalL07,
  author       = {Kanak Agarwal and
                  Frank Liu},
  title        = {Efficient computation of current flow in signal wires for reliability
                  analysis},
  booktitle    = {{ICCAD}},
  pages        = {741--746},
  publisher    = {{IEEE} Computer Society},
  year         = {2007}
}
@inproceedings{DBLP:conf/isqed/AgarwalN07,
  author       = {Kanak Agarwal and
                  Kevin J. Nowka},
  title        = {Dynamic Power Management by Combination of Dual Static Supply Voltages},
  booktitle    = {{ISQED}},
  pages        = {85--92},
  publisher    = {{IEEE} Computer Society},
  year         = {2007}
}
@article{DBLP:journals/tcad/AgarwalSB06,
  author       = {Kanak Agarwal and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {Modeling and analysis of crosstalk noise in coupled {RLC} interconnects},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {25},
  number       = {5},
  pages        = {892--901},
  year         = {2006}
}
@article{DBLP:journals/tcad/AgarwalASB06,
  author       = {Kanak Agarwal and
                  Mridul Agarwal and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {Statistical interconnect metrics for physical-design optimization},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {25},
  number       = {7},
  pages        = {1273--1288},
  year         = {2006}
}
@inproceedings{DBLP:conf/dac/AgarwalN06,
  author       = {Kanak Agarwal and
                  Sani R. Nassif},
  title        = {Statistical analysis of {SRAM} cell stability},
  booktitle    = {{DAC}},
  pages        = {57--62},
  publisher    = {{ACM}},
  year         = {2006}
}
@inproceedings{DBLP:conf/iscas/AcarAN06,
  author       = {Emrah Acar and
                  Kanak Agarwal and
                  Sani R. Nassif},
  title        = {Characterization of total chip leakage using inverse (reciprocal)
                  gamma distribution},
  booktitle    = {{ISCAS}},
  publisher    = {{IEEE}},
  year         = {2006}
}
@inproceedings{DBLP:conf/iscas/NassifAA06,
  author       = {Sani R. Nassif and
                  Kanak Agarwal and
                  Emrah Acar},
  title        = {Methods for estimating decoupling capacitance of nonswitching circuit
                  blocks},
  booktitle    = {{ISCAS}},
  publisher    = {{IEEE}},
  year         = {2006}
}
@inproceedings{DBLP:conf/isqed/AgarwalNDS06,
  author       = {Kanak Agarwal and
                  Kevin J. Nowka and
                  Harmander Deogun and
                  Dennis Sylvester},
  title        = {Power Gating with Multiple Sleep Modes},
  booktitle    = {{ISQED}},
  pages        = {633--637},
  publisher    = {{IEEE} Computer Society},
  year         = {2006}
}
@inproceedings{DBLP:conf/aspdac/AgarwalSBD05,
  author       = {Kanak Agarwal and
                  Dennis Sylvester and
                  David T. Blaauw and
                  Anirudh Devgan},
  title        = {Achieving continuous V\({}_{\mbox{T}}\) performance in a dual V\({}_{\mbox{T}}\)
                  process},
  booktitle    = {{ASP-DAC}},
  pages        = {393--398},
  publisher    = {{ACM} Press},
  year         = {2005}
}
@inproceedings{DBLP:conf/aspdac/AgarwalASB05,
  author       = {Mridul Agarwal and
                  Kanak Agarwal and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {Statistical modeling of cross-coupling effects in {VLSI} interconnects},
  booktitle    = {{ASP-DAC}},
  pages        = {503--506},
  publisher    = {{ACM} Press},
  year         = {2005}
}
@inproceedings{DBLP:conf/dac/SrivastavaSASBD05,
  author       = {Ashish Srivastava and
                  Saumil Shah and
                  Kanak Agarwal and
                  Dennis Sylvester and
                  David T. Blaauw and
                  Stephen W. Director},
  title        = {Accurate and efficient gate-level parametric yield estimation considering
                  correlated variations in leakage power and performance},
  booktitle    = {{DAC}},
  pages        = {535--540},
  publisher    = {{ACM}},
  year         = {2005}
}
@inproceedings{DBLP:conf/iscas/RaoASKBN05,
  author       = {Rahul M. Rao and
                  Kanak Agarwal and
                  Dennis Sylvester and
                  Himanshu Kaul and
                  Richard B. Brown and
                  Sani R. Nassif},
  title        = {Power-aware global signaling strategies},
  booktitle    = {{ISCAS} {(1)}},
  pages        = {604--607},
  publisher    = {{IEEE}},
  year         = {2005}
}
@inproceedings{DBLP:conf/isqed/RaoADNSB05,
  author       = {Rahul M. Rao and
                  Kanak Agarwal and
                  Anirudh Devgan and
                  Kevin J. Nowka and
                  Dennis Sylvester and
                  Richard B. Brown},
  title        = {Parametric Yield Analysis and Constrained-Based Supply Voltage Optimization},
  booktitle    = {{ISQED}},
  pages        = {284--290},
  publisher    = {{IEEE} Computer Society},
  year         = {2005}
}
@article{DBLP:journals/tcad/AgarwalSB04,
  author       = {Kanak Agarwal and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {A library compatible driver output model for on-chip {RLC} transmission
                  lines},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {23},
  number       = {1},
  pages        = {128--136},
  year         = {2004}
}
@article{DBLP:journals/tcad/AgarwalSB04a,
  author       = {Kanak Agarwal and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {A simple metric for slew rate of {RC} circuits based on two circuit
                  moments},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {23},
  number       = {9},
  pages        = {1346--1354},
  year         = {2004}
}
@inproceedings{DBLP:conf/aspdac/AgarwalSB04,
  author       = {Kanak Agarwal and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {A simplified transmission-line based crosstalk noise model for on-chip
                  {RLC} wiring},
  booktitle    = {{ASP-DAC}},
  pages        = {858--864},
  publisher    = {{IEEE} Computer Society},
  year         = {2004}
}
@inproceedings{DBLP:conf/dac/AgarwalSBLNV04,
  author       = {Kanak Agarwal and
                  Dennis Sylvester and
                  David T. Blaauw and
                  Frank Liu and
                  Sani R. Nassif and
                  Sarma B. K. Vrudhula},
  title        = {Variational delay metrics for interconnect timing analysis},
  booktitle    = {{DAC}},
  pages        = {381--384},
  publisher    = {{ACM}},
  year         = {2004}
}
@inproceedings{DBLP:conf/iccd/ShahAS04,
  author       = {Saumil Shah and
                  Kanak Agarwal and
                  Dennis Sylvester},
  title        = {A New Threshold Voltage Assignment Scheme for Runtime Leakage Reduction
                  in On-Chip Repeaters},
  booktitle    = {{ICCD}},
  pages        = {138--143},
  publisher    = {{IEEE} Computer Society},
  year         = {2004}
}
@inproceedings{DBLP:conf/islped/RaoASBNN04,
  author       = {Rahul M. Rao and
                  Kanak Agarwal and
                  Dennis Sylvester and
                  Richard B. Brown and
                  Kevin J. Nowka and
                  Sani R. Nassif},
  title        = {Approaches to run-time and standby mode leakage reduction in global
                  buses},
  booktitle    = {{ISLPED}},
  pages        = {188--193},
  publisher    = {{ACM}},
  year         = {2004}
}
@article{DBLP:journals/tcad/SatoCASH03,
  author       = {Takashi Sato and
                  Yu Cao and
                  Kanak Agarwal and
                  Dennis Sylvester and
                  Chenming Hu},
  title        = {Bidirectional closed-form transformation between on-chip coupling
                  noise waveforms and interconnect delay-change curves},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {22},
  number       = {5},
  pages        = {560--572},
  year         = {2003}
}
@inproceedings{DBLP:conf/dac/AgarwalSB03,
  author       = {Kanak Agarwal and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {An effective capacitance based driver output model for on-chip {RLC}
                  interconnects},
  booktitle    = {{DAC}},
  pages        = {376--381},
  publisher    = {{ACM}},
  year         = {2003}
}
@inproceedings{DBLP:conf/dac/AgarwalSB03a,
  author       = {Kanak Agarwal and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {Simple metrics for slew rate of {RC} circuits based on two circuit
                  moments},
  booktitle    = {{DAC}},
  pages        = {950--953},
  publisher    = {{ACM}},
  year         = {2003}
}
@inproceedings{DBLP:conf/iccd/DasABS03,
  author       = {Shidhartha Das and
                  Kanak Agarwal and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {Optimal Inductance for On-chip {RLC} Interconnections},
  booktitle    = {{ICCD}},
  pages        = {264},
  publisher    = {{IEEE} Computer Society},
  year         = {2003}
}
@inproceedings{DBLP:conf/issoc/AgarwalSB03,
  author       = {Kanak Agarwal and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {Dynamic clamping: on-chip dynamic shielding and termination for high-speed
                  {RLC} buses},
  booktitle    = {SoC},
  pages        = {97--100},
  publisher    = {{IEEE}},
  year         = {2003}
}
@inproceedings{DBLP:conf/tau/AgarwalSB02,
  author       = {Kanak Agarwal and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {A library compatible driving point model for on-chip {RLC} interconnects},
  booktitle    = {Timing Issues in the Specification and Synthesis of Digital Systems},
  pages        = {63--69},
  publisher    = {{ACM}},
  year         = {2002}
}
@inproceedings{DBLP:conf/vlsid/AgarwalCSSH02,
  author       = {Kanak Agarwal and
                  Yu Cao and
                  Takashi Sato and
                  Dennis Sylvester and
                  Chenming Hu},
  title        = {Efficient Generation of Delay Change Curves for Noise-Aware Static
                  Timing Analysis},
  booktitle    = {{ASP-DAC/VLSI} Design},
  pages        = {77},
  publisher    = {{IEEE} Computer Society},
  year         = {2002}
}